Recent #2nm news in the semiconductor industry
12/24/2024, 02:00 PM UTC
如果我是英特尔CEO,我会怎么做?What would you do if you were the CEO of Intel?
➀ 英特尔在技术宣布中转向更加透明的策略;➁ 英特尔PowerVia与台积电Super Power Rail之间的竞争;➂ 安迪·格鲁夫保持‘适度忧虑’的哲学。➀ Intel's shift to a more transparent strategy in technology announcements; ➁ The competition between Intel's PowerVia and TSMC's Super Power Rail; ➂ Andy Grove's philosophy of maintaining a 'healthy amount of paranoia'.
12/23/2024, 01:02 AM UTC
埃德嗅到欧洲的机会Ed Sniffs Euro-Wonga
➀ 埃德认为欧盟的‘ECS经纪’是一个鼓励英国技术人才获取欧洲资金的方法;➁ 他已经说服部门为研究人员、发明家、初创企业和中小企业参加这些活动提供预算;➂ 他正在探索斯肯索普量子利用欧洲发展基金的机会。➀ Ed sees the EU's 'ECS Brokerage' as a way to encourage UK techies to access European funds; ➁ He has convinced the Department to allocate a budget for researchers, inventors, startups, and SMEs to attend these events; ➂ He is exploring opportunities for Scunthorpe Quantum to benefit from European development funds.
12/19/2024, 08:39 PM UTC
CXL技术终于在2025年到来CXL is Finally Coming in 2025
➀ 计算表达式链接(CXL)技术预计将在2025年从利基市场转向主流应用;➁ CXL对内存扩展的支持是主要驱动力,现在有各种服务器和内存解决方案可用;➂ CXL 2.0和未来的PCIe/CXL版本将实现更高级的应用,如交换和动态内存分配。➀ Compute Express Link (CXL) technology is expected to move from a niche to mainstream use in 2025; ➁ CXL's support for memory expansion is a significant driver, with various server and memory solutions now available; ➂ CXL 2.0 and future generations of PCIe/CXL will enable more advanced use cases like switching and dynamic memory allocation.
12/19/2024, 06:28 AM UTC
Rapidus获得EUV设备Rapidus gets EUV machine
➀ Rapidus已收到一台ASML EUV设备,用于其位于北海道千岁市IIM-1晶圆厂的建设;➁ 这标志着EUV技术在日本首次用于量产;➂ 该TWINSCAN NXE:3800E设备每小时可加工220片晶圆;➃ Rapidus计划在2025年4月开始在IIM-1进行试点硅片生产,采用单片晶圆工艺。➀ Rapidus has received an ASML EUV machine for installation at its IIM-1 foundry in Chitose, Hokkaido; ➁ This marks the first time EUV technology will be used for mass production in Japan; ➂ The TWINSCAN NXE:3800E machine can process 220 wafers an hour; ➃ Rapidus plans to start pilot silicon production at IIM-1 in April 2025 with a single-wafer process.
12/16/2024, 12:20 PM UTC
蔡崇信与埃隆·马斯克联手机器人C.C.Wei and Elon Musk hooking up on robots
➀ 蔡崇信与埃隆·马斯克正在合作开发多功能机器人;➁ 马斯克计划于2026年推出名为Optimus的多功能人形机器人;➂ 蔡崇信强调无人机和人工智能在水资源、电力等公用事业领域的应用的重要性。➀ C.C. Wei and Elon Musk are collaborating on multifunctional robots; ➁ Musk plans to launch a multifunctional humanoid robot named Optimus in 2026; ➂ Wei emphasizes the importance of drones and AI applications in utilities such as water and electricity provision.
12/16/2024, 01:07 AM UTC
苹果下一代A20 Pro芯片将驱动iPhone 18 Pro和iPhone 18 Pro Max,采用台积电2nm节点Apple's next-gen A20 Pro chip will power iPhone 18 Pro, iPhone 18 Pro Max on TSMC 2nm node
➀ 预计2026年,苹果的下一代A20 Pro芯片将驱动iPhone 18 Pro和iPhone 18 Pro Max;➁ 该芯片将在台积电的2nm工艺节点上制造,可能导致成本上升;➂ 作为台积电的最大客户,苹果将首先在iPhone 17上使用2nm技术。➀ Apple's next-gen A20 Pro chip is expected to power the iPhone 18 Pro and iPhone 18 Pro Max in 2026; ➁ The chip will be manufactured on TSMC's 2nm process node, potentially leading to increased costs; ➂ Apple, TSMC's largest customer, will first use 2nm technology in the iPhone 17.
12/13/2024, 02:15 PM UTC
英国在塑料电子领域的领先地位UK Leads In Plastic Electronics
➀ 英国在塑料电子领域是全球的领导者;➁ 曼德尔森勋爵强调了为行业制定路线图的重要性,以从尖端技术走向大众市场;➂ 斯旺西大学和威尔士印刷与涂覆中心参与开发使用塑料电子的新产品线。➀ The UK has been a global leader in the Plastic Electronics sector; ➁ Lord Mandelson emphasized the importance of a roadmap for the industry to move from cutting-edge to mass market; ➂ Swansea University and the Welsh Centre for Printing and Coating are involved in developing new product lines using plastic electronics.
12/13/2024, 09:18 AM UTC
利用人工智能实现更好的光伏材料Using AI to Achieve Better Photovoltaic Materials
➀ 卡尔鲁厄理工学院的研究人员通过人工智能和高通量合成找到了新的有机分子,以提高钙钛矿太阳能电池的效率。➁ 开发的策略可以应用于其他材料研究领域,如新型电池材料。➂ 该团队使参考太阳能电池的效率提高了2%,达到26.2%。➀ Researchers at KIT have found new organic molecules to improve the efficiency of perovskite solar cells with the help of AI and high-throughput synthesis. ➁ The strategy developed can be applied to other areas of material research, such as new battery materials. ➂ The team achieved a two percent efficiency increase for a reference solar cell, reaching 26.2 percent.
12/12/2024, 02:00 PM UTC
寓言:牛仔CEO的故事Fable: The Cowboy CEO
➀ 这位CEO以他的十加仑帽子和牛仔靴闻名,创立的公司收购了60多家电信公司,市值一度高达1860亿美元。➁ 在一个季度亏损的情况下,公司报告了138亿美元的净利润。➂ 他从公司借款4.08亿美元来弥补保证金调用,最终违约,并在监狱中度过了13年。➀ The CEO, known for his ten gallon hats and cowboy boots, founded a company that acquired over 60 telecoms companies and had a peak market cap of $186 billion. ➁ In one quarter with a loss, the company reported a net profit of $1.38 billion. ➂ He borrowed $408 million from the company to cover margin calls and defaulted on the debt, spending 13 years in jail.
12/12/2024, 10:04 AM UTC
富士通新144核Monaka芯片:基于Arm,2nm+5nm芯片堆叠,CPU核心内存3D堆叠Fujitsu's new 144-core Monaka chip: Arm, 2nm + 5nm chiplets, 3D-stacked CPU cores over memory
➀ 富士通发布了Monaka处理器,这是一款专为未来数据中心设计的144核Arm v9芯片;➁ 该芯片基于台积电的N2工艺制造,并采用CoWoS系统封装、SRAM芯片和混合铜键合技术;➂ 富士通计划到2026-2027年实现更高的能效,同时使用空气冷却。➀ Fujitsu unveils its Monaka processor, a 144-core Armv9-based chip designed for future data centers; ➁ The chip is built on TSMC's N2 process and features a CoWoS system-in-package with SRAM tiles and hybrid copper bonding; ➂ Fujitsu aims for superior energy efficiency by 2026-2027, using air cooling.
12/09/2024, 03:51 PM UTC
英特尔Arc B580在早期基准测试中与RTX 4060和RX 7600交锋 —— B580在OpenCL和Vulkan工作负载中比A580快30%Intel Arc B580 trades blows with the RTX 4060 and RX 7600 in early benchmarks — B580 beats A580 by up to 30% in OpenCL and Vulkan workloads
➀ 英特尔Arc B580在早期基准测试中比其前代产品A580快30%以上;➁ 基准测试在OpenCL和Vulkan API上完成;➂ Arc B580的价格低于RTX 4060,但性能快10%。➀ Intel's Arc B580 outperforms its predecessor, A580, by up to 30% in early benchmarks; ➁ The benchmarks were conducted across the OpenCL and Vulkan APIs; ➂ The Arc B580 is 10% faster than the RTX 4060 at a lower price point.
12/09/2024, 06:02 AM UTC
Farnell年终促销Farnell end-of-year sale
➀ 慧荣科技全球宣布在EMEA地区推出测试与工具(T&T)产品的年终促销活动;➁ 该促销活动包括广泛测试与工具产品的显著折扣;➂ 促销活动将于2025年1月31日结束。➀ Farnell Global has announced end-of-year offers on Test & Tools (T&T) products across the EMEA; ➁ The sale includes significant discounts on a wide range of T&T products; ➂ The promotional period ends on January 31, 2025.
12/08/2024, 06:44 AM UTC
台积电2纳米芯片良率超预期,2025年量产TSMC's new 2nm chip yields are 'better than expected' in trial runs at its fab in Taiwan
➀ 台积电2纳米芯片在试验运行中的良率超出预期;➁ 预计2025年实现量产;➂ 2纳米节点引入纳米片架构,这是半导体行业的首次。➀ TSMC's 2nm chip yields exceed expectations in trial runs; ➁ Mass production is scheduled for 2025; ➂ The 2nm node introduces nanosheet architecture, a first in the semiconductor industry.
12/06/2024, 01:23 PM UTC
被暗杀的联合健康CEO涉嫌使用AI拒绝患病者保险Assassinated UnitedHealthcare CEO allegedly used AI to deny sick people coverage
➀ 一项集体诉讼指控联合健康保险公司使用一个有缺陷的算法拒绝患者保险,由两名现已去世的个人提起。➁ 联合健康保险公司首席执行官布莱恩·汤普森本周在曼哈顿中城被杀,嫌疑人目前仍在逃。➂ 诉讼声称联合健康保险公司促使员工使用一个错误率约为90%的算法来拒绝保险。➀ A class-action lawsuit alleges that UnitedHealthcare uses a faulty algorithm to deny patient coverage, filed by two now-deceased individuals. ➁ UnitedHealthcare CEO Brain Thompson was killed in Midtown Manhattan earlier this week, and the suspect is currently on the run. ➂ The lawsuit claims UnitedHealthcare pushed employees to use an algorithm with a 90% error rate to deny coverage.
12/06/2024, 06:23 AM UTC
2024年第三季度晶圆厂收入增长27%:AI需求推动行业增长,成熟节点竞争加剧Q3 foundry revenues up 27% y-o-y
➀ 2024年第三季度晶圆厂收入同比增长27%,主要得益于强劲的AI需求和中国的复苏;➁ 台积电表现超出预期,先进节点利用率高;➂ 非AI半导体复苏缓慢,预计到2025年成熟节点晶圆厂竞争将加剧。➀ The foundry revenue grew 27% YoY and 11% QoQ in Q3 2024, driven by strong AI demand and China's recovery; ➁ TSMC's performance exceeded expectations with high utilization rates at leading-edge nodes; ➃ The recovery of non-AI semiconductors remained slow, and mature-node foundry competition is expected to intensify in 2025.
12/05/2024, 08:53 AM UTC
首个可编程连接模块First Programmable Connectivity Module
➀ 本文讨论了首个可编程连接模块,这是电 子行业的一项重大发展;➁ 可能探讨了其功能和潜在应用;➂ 该模块可能与连接技术方面的进步有关,影响游戏、人工智能和汽车等各个领域。➀ The article discusses the first programmable connectivity module, a significant development in the electronics industry; ➁ It likely explores its features and potential applications; ➂ The module could be related to advancements in connectivity technology, impacting various sectors such as gaming, AI, and automotive.
12/03/2024, 12:34 PM UTC
台积电工程师吹嘘近期2nm产率提升6%,为顾客节省数十亿美元TSMC engineer boasts of recent 6% boost to 2nm yields, passing 'billions in savings' to customers
➀ 台积电即将开始大规模生产2nm级半导体;➁ 公司测试芯片产率提升6%,为顾客节省数十亿美元;➂ 台积电的N2工艺采用GAA纳米片晶体管,承诺显著降低功耗和提升性能。➀ TSMC is poised to begin mass production of 2nm-class semiconductors; ➁ The company has achieved a 6% increase in yield for test chips, saving billions for customers; ➂ TSMC's N2 process utilizes GAA nanosheet transistors, promising significant power reduction and performance boost.
12/02/2024, 06:00 PM UTC
Breker如何帮助解决RISC-V认证问题How Breker is Helping to Solve the RISC-V Certification Problem
➀ RISC-V核心的兴起和认证的挑战;➁ Breker验证系统在认证过程中的作用;➂ 认证RISC-V ISA实现的复杂性以及RISC-V国际组织的努力。➀ The rise of RISC-V cores and the challenges of certification; ➁ The role of Breker Verification Systems in the certification process; ➂ The complexity of certifying RISC-V ISA implementations and the efforts of RISC-V International.
11/23/2024, 10:19 AM UTC
您的免费试用Feed URL已于2024年11月23日星期六到期,请创建新的免费URL或升级订阅计划以获得持久URLYour trial feed URL has expired on Sat Nov 23, 2024
➀ 您的免费试用Feed URL已于2024年11月23日星期六到期;➁ 请免费创建新的URL或升级您的订 阅计划;➂ 在<a href="https://www.mysitemapgenerator.com/rss/index.html">MySitemapGenerator.com</a>获取持久URL➀ Your trial feed URL has expired on Sat Nov 23, 2024; ➁ Please create a new one for free or upgrade your subscription plan; ➂ Get a persistent URL at <a href="https://www.mysitemapgenerator.com/rss/index.html">MySitemapGenerator.com</a>
10/29/2024, 06:08 AM UTC
苹果下一代M5芯片预计于2025年底发布,新款iPad Pro可能于2025年底或2026年初推出Apple's next-gen M5 chip to launch by end of 2025, new iPad Pro expected in late 2025, or 2026
➀ 苹果下一代M5芯片预计于2025年底发布;➁ 新款iPad Pro预计将于2025年底或2026年初发布;➂ M5芯片专为新款11英寸和13英寸iPad Pro以及M5 MacBook笔记本电脑设计。➀ Apple's next-gen M5 chip is expected to launch by the end of 2025; ➁ The new iPad Pro is expected to be released in late 2025 or early 2026; ➂ The M5 chip is designed for the new 11-inch and 13-inch iPad Pro and M5 MacBook laptops.
10/28/2024, 12:30 AM UTC
Rapidus计划在2nm量产顺利推进的情况下建设第二座1.4nm晶圆厂[News] Rapidus Plans Second Plant for 1.4nm Process if 2nm Mass Production Proceeds Smoothly
➀ Rapidus正计划建设第二座用于1.4nm工艺晶圆的工厂;➁ 第一座工厂正在北海道千岁市建设;➂ 目标是在2027年实现2nm晶圆的大规模生产。➀ Rapidus is planning to build a second factory for 1.4nm process wafers; ➁ The first factory is being constructed in Chitose City, Hokkaido; ➂ The goal is to mass-produce 2nm wafers in 2027.
10/24/2024, 12:00 PM UTC
谷歌或采用台积电N3E工艺替代2nm制造Tensor G6[News] Google Reportedly Adopts TSMC’s N3P Process instead of 2nm for Tensor G6
➀ 据传闻,谷歌将采用台积电的N3E工艺制造Tensor G5;➁ 报告还明确指出,谷歌没有选择为Tensor G6使用2nm技术;➂ 这一举措可能影响AI和智能手机芯片市场的竞争。➀ Google is rumored to switch to TSMC's N3E process for Tensor G5; ➁ The report also clarifies that Google has chosen not to use 2nm technology for Tensor G6; ➂ The move could impact the competition in the AI and smartphone chip markets.
10/24/2024, 02:36 AM UTC
谷歌下一代Tensor G6处理器代号“Malibu”:采用台积电2nm工艺,性能和功耗提升Google's next-gen Tensor G6 codename 'Malibu' chip: TSMC 2nm for major perf, power improvements
➀ 谷歌下一代Tensor G6处理器,代号为“Malibu”,将基于台积电2nm工艺制造;➁ 预计Tensor G6将驱动即将推出的Pixel 11智能手机系列;➂ 新处理器承诺将带来显著的性能和功耗提升。➀ Google's next-gen Tensor G6 processor, codenamed 'Malibu', will be built on TSMC's 2nm process node; ➁ The Tensor G6 is expected to power the upcoming Pixel 11 smartphone series; ➂ The new processor promises major performance and power improvements.
10/21/2024, 01:00 PM UTC
Analog Bits在TSMC OIP上为未来铺路Analog Bits Builds a Road to the Future at TSMC OIP
➀ 在TSMC OIP上,Analog Bits展示了其片上传感IP和电源管理解决方案;➁ 公司强调了其在3nm和2nm技术节点上的进展;➂ 与Arm的合作被讨论,重点关注电源管理和时钟IP。➀ Analog Bits showcased its on-die sensing IP and power management solutions at TSMC OIP; ➁ The company highlighted its progress in 3nm and 2nm technology nodes; ➂ Collaborations with Arm were discussed, focusing on power management and clocking IPs.
10/16/2024, 01:00 PM UTC
移动LLM不只是技术。实际应用案例才是关键Mobile LLMs Aren’t Just About Technology. Realistic Use Cases Matter
➀ 谷歌正在探索在移动设备上运行大型语言模型(LLM)的可行性;➁ 谷歌在移动设备上优化LLM的技术;➂ 移动设备上LLM实际应用案例的重要性➀ Arm is exploring the feasibility of running LLMs on mobile devices; ➁ Arm's optimization techniques for LLMs on mobile; ➂ The importance of practical use cases for LLMs in mobile devices
10/15/2024, 05:00 PM UTC
电子束探测:7nm以下集成电路安全分析的新守护者Electron Beam Probing: The New Sheriff in Town for Security Analyzing of Sub- 7nm ICs with Backside PDN
➀ 电子束探测(EBP)已成为分析7nm以下集成电路安全性的有效方法。 ➁ 它比光学探测具有更高的空间分辨率,适用于7nm以下的倒装芯片和先进的三维架构。 ➂ 研究重点在于EBP在故障分析和硬件保证中的重要性。➀ Electron Beam Probing (EBP) has become a powerful method for security analyzing of sub-7nm ICs. ➁ It offers better spatial resolution than optical probing and is suitable for sub-7nm flip-chips and advanced 3D architectures. ➂ The research focuses on the importance of EBP in failure analysis and hardware assurance.
07/12/2024, 12:00 PM UTC
应用材料公司新沉积工具使铜线可用于2nm及更先进工艺Applied Materials' New Deposition Tool Enables Copper Wires to Be Used for 2nm and Beyond
1、应用材料公司推出了一款新的沉积工具,使得铜线可以用于2nm及更先进的工艺技术。2、该工具采用二元RuCo衬垫,改善铜回流的表面特性,降低25%的电阻。3、公司还推出了升级版的Black Diamond Low-K薄膜,进一步降低介电常数并增强机械强度,适用于逻辑和内存的3D堆叠。1. Applied Materials introduces a new deposition tool that allows copper wires to be used in 2nm and more advanced process technologies. 2. The tool uses a binary RuCo liner to improve surface properties for copper reflow, reducing resistance by 25%. 3. The company also introduces an upgraded Black Diamond Low-K film to further reduce dielectric constant and increase mechanical strength for 3D stacking.
06/13/2024, 11:00 AM UTC
三星晶圆代工发布更新路线图:2027年前实现BSPDN和2nm工艺进化Samsung Foundry Unveils Updated Roadmap: BSPDN and 2nm Evolution Through 2027
1、三星在美国三星晶圆代工论坛上公布了其最新工艺技术路线图,涵盖了2027年前2nm级别节点的演进。2、路线图中引入了BSPDN技术,并计划在2027年前开发出1.4nm级别节点。3、三星还将SF3P节点重新命名为SF2,旨在为高性能设备提供改进的PPA效益。1. Samsung has unveiled its updated roadmap at the Samsung Foundry Forum U.S., detailing the evolution of its 2nm-class nodes through 2027. 2. The roadmap includes the introduction of BSPDN technology and the development of a 1.4nm-class node by 2027. 3. Samsung also rebranded its SF3P node to SF2, aiming at high-performance devices with improved PPA benefits.
05/01/2024, 12:00 PM UTC
Samsung Foundry Update: 2nm Unveil in June, Second-Gen SF3 3nm Hits Production This Year
As part of Samsung's Q1 earnings announcement, the company has outlined some of its foundry unit's key plans for the rest of the year. The company has confirmed that it remains on track to meeting its goal of starting mass production of chips on its SF3 (3 nm-class, 2nd Generation) technology in the second half of the year. Meanwhile in June, Samsung Foundry will formally unveil its SF2 (2 nm-class) process technology, which will offer a mix of performance and efficiency enhancements. Finally, the company the company is preparing a variation of its 4 nm-class technology for integration into stacked 3D designs. SF2 To Be Unveiled In June Samsung plans to disclose key details about its SF2 fabrication technology at the VLSI Symposium 2024 on June 19. This will be the company's second major process node based upon gate-all-around (GAA) multi-bridge channel field-effect transistors (MBCFET). Improving over its predecessor, SF2 will feature a 'unique epitaxial and integration process,' which will give the process node higher performance and lower leakage than traditional FinFET-based nodes (though Samsung isn't disclosing the specific node they're comparing it to). Samsung says that SF2 increases performance of narrow transistors by 29% for N-type and 46% for P-type, and wide transistors by 11% and 23% respectively. Moreover, it reduces transistor global variation by 26% compared to FinFET technology, and cuts product leakage by approximately 50%. This process also sets the stage for future advancements in technology through enhanced design technology co-optimization (DTCO) collaboration with its customers. One thing that Samsung has not mentioned in context of SF2 is backside power delivery, so at least for the moment, there is no indication that Samsung will be adopting this next-gen power routing feature for SF2. Samsung says that the design infrastructure for SF2 – the PDK, EDA tools, and licensed IP – will be finalized in the second quarter of 2024. Once this happe