Recent #TSMC news in the semiconductor industry
04/01/2025, 11:29 AM UTC
美国半导体战略的失误:TSMC在2nm晶圆制造上领先英特尔A Curious Strategy
➀ 在英特尔从这项技术中获得任何显著收益之前,台积电预计将从制造2nm晶圆中获得600亿美元的收益。
➁ 美国政府将2500亿美元的芯片和科学法案资金广泛分配的决定受到批评。
➂ 与台积电2025年的300-400亿美元资本支出和预计的每个季度300亿美元的收益相比,英特尔在法案中获得的190亿美元份额似乎不足。
04/01/2025, 05:28 AM UTC
台积电举办2纳米工厂产能扩张仪式,提前进入量产阶段TSMC ceremony marks early move to 2nm mass production
➀ 台积电在高雄的2纳米工厂举办了产能扩张仪式,庆祝其提前进入量产阶段。
➁ 预计高雄工厂和位于新竹的另一个2纳米工厂将在第三季度开始量产,两者的合计产出预计在第三季度和第四季度产生600亿美元的营收。
➂ 这两个工厂的最大产出能力为80k wpm,据说良率“远超”60-70%。初期客户包括苹果、英伟达和高通。
03/31/2025, 04:12 AM UTC
蒋尚义:英特尔已是“无名小卒”,应与成熟芯片技术公司合并Jiang Shangyi: Intel is Now a 'Minor Player', Should Merge with Established Chip Technology Companies
➀ 蒋尚义,台积电前联席首席运营官,在台湾的一次活动中公开谈到了英特尔在芯片制造方面的困境。
➁ 他建议英特尔采用成熟的芯片制造工艺来竞争,因为它远远落后于台积电,无法赶上。
➂ 蒋尚义认为,英特尔,曾经芯片行业的‘王者’,现在是‘无名小卒’,应该与专注于大批量生产成熟工艺芯片的公司合并。
03/30/2025, 03:00 PM UTC
2024年半导体资本支出下降,2025年上升Semiconductor CapEx Down in 2024 up in 2025
➀ 预计2024年半导体资本支出(CapEx)将下降至1550亿美元,然后在2025年增加至1600亿美元。
➁ 台积电计划在2025年大幅增加CapEx,预计在380亿至420亿美元之间,而美光科技预计为140亿美元。
➂ CHIPS法案已拨款320亿美元用于赠款和60亿美元用于贷款,以支持美国半导体制造。
03/28/2025, 02:23 PM UTC
何时能见到90nm的SoC?When Will We See A 90nm SoC?
21年前,在Globalpress 'Leading the Recovery'会议上,一个小组讨论了'何时会有量产的90nm SoC'的问题。
Cadence Design Systems的高级副总裁Ted Vucurevich表示,预计最早在2004年将出现基于90nm工艺的FPGA设计。
来自Synopsys的Anton Domic认为,到2004年,将会有非FPGA芯片在90nm工艺上实现量产。
台积电的Andrew Moore也提到,公司的发展路线图显示,90nm工艺将在2004年实现。
量产90nm设计面临预期良率、客户信心、验证和成本等挑战。
03/27/2025, 11:00 AM UTC
英伟达黄仁勋预计基于GAA技术的产品性能提升达20%,能否推动GPU生产跳到先进节点?Nvidia's Jesnen Huang expects GAA-based technologies to bring a 20% performance uplift
➀ 英伟达的黄仁勋预计基于GAA技术的产品性能提升可达20%;
➁ 黄仁勋强调软件创新比工艺节点变化更重要;
➂ 英伟达下一代AI用GPU,代号为Rubin,预计将使用台积电的3nm级制造工艺。
03/25/2025, 06:12 AM UTC
Foundry 2.0市场今年将增长11%Foundry 2.0 to grow 11% this year
➀ Foundry 2.0市场预计将在2025年达到2980亿美元,同比增长11%;
➁ 由于AI加速器的强劲订单,台积电预计将在2025年将其市场份额扩 大到37%;
➂ 尽管英特尔积极推广其工艺技术,但非内存IDM部门预计在2025年将适度增长2%。
03/24/2025, 03:17 PM UTC
台积电2纳米制程稳步推进,预计将供应2026年iPhone 18TSMC on Track for 2nm Production, Expected to Power Apple’s iPhone 18 in 2026
➀ 台积电在2纳米制程技术方面取得了显著进展;
➁ 该技术预计将被用于苹果的iPhone 18系列;
➂ 新款iPhone预计将于2026年下半年发布。
03/24/2025, 03:54 AM UTC
揭秘台积电工程师忙碌的一天:从早上7:35开始工作Inside a TSMC Engineer's Busy Day: Starting at 7:35 AM
➀ 台积电成立于1987年,已成为全球半导体制造领域的领导者。
➁ 台积电的2nm工艺技术将于今年量产。
➂ 台积电的工程师在高度专业化和连续的工作环境中工作,面临各种复杂的挑战。
➃ 台积电的企业文化受到亚洲儒家思想的影响,强调纪律、专业和对老年人的尊重。
➄ 台积电启动了2025校园招聘计划,计划招聘约8000名新员工。
03/17/2025, 10:35 AM UTC
2025年2月半导体代工厂和OSAT回顾February 2025 Review – Semiconductor Foundries and OSATs
➀ 2月份,台积电的收入达到79亿美元,较1月份下降了11%,但同比增加了43%。
➁ 2月份,联电的收入为5.52亿美元,与上个月相比变化未知。
➂ 2025年前两个月,台积电的收入与2024年同期相比增长了39%。
03/15/2025, 12:02 PM UTC
芯片新闻周报:英特尔迎来新CEO,中国芯片走私盛行,AMD持续领跑The week in chip news: Intel gets a new CEO, China chip smuggling, AMD dominates
➀ 英特尔任命李培根为新任CEO,引发对裁员和英特尔晶圆厂未来的担忧。
➁ 中国的芯片走私活动持续,个人和企业进口受限制的AI服务器,同时华为被指控欺骗台积电生产受禁处理器。
➂ AMD发布Ryzen 9 9950X3D,凭借其3D V-Cache技术,在游戏性能上超越英特尔芯片。
03/14/2025, 10:18 AM UTC
最新科技新闻Most Read – Andy Grove, Smallest MCU, ST China fab
➀ TSMC正在与Nvidia、Broadcom、Qualcomm和AMD讨论收购英特尔晶圆厂的合资企业;
➁ 三安光电与意法半导体在重庆合资建立了价值32亿美元的碳化硅晶圆厂;
➂ 本周嵌入式世界2025展会的最新电子新闻综述;
➃ 德州仪器宣布其最新的微控制器是“世界上最小”的,尺寸为1.6 x 0.86mm;
➄ 美国总统特朗普对格罗夫之后英特尔CEO的表现持批评态度。
03/13/2025, 11:13 AM UTC
本周焦点:ANDtr访谈、TSMC在亚利桑那州投资1000亿美元、TI的“世界最小”MCU及ESA投资爱丁堡天文技术中心What caught your eye? (ANDtr interview, TSMC, Tiny 32bit MCU, ATC)
➀ 这周的重点包括ANDtr的访谈、TSMC在亚利桑那州投资1000亿美元、TI声称的“世界最小”MCU以及ESA对爱丁堡天文技术中心的投资。
➁ 电子周团队分享了他们本周的精选内容,包括行业内的公告、发展、产品发布、引言等。
➂ 团队讨论了CHIIPS播客的第二集,其中包含了ANDtr的Valerie Lynch和Nicola Thorn的见解,以及英国天文技术中心新设施的建设宣布。
03/12/2025, 06:33 PM UTC
台积电向英伟达、AMD、博通和高通提出与英特尔晶圆厂合资的想法TSMC pitches an Intel Foundry joint venture idea to NVIDIA, AMD, Broadcom, Qualcomm
➀ 台积电提出了与英伟达、AMD等美国芯片设计公司合资运营英特尔半导体工厂的想法,台积电的持股比例不会超过50%。
➁ 在特朗普总统推动振兴美国半导体产业之后,这些谈判处于早期阶段。
➂ 台积电将运营英特尔晶圆厂,但持股比例不会超过50%。
03/12/2025, 01:01 PM UTC
台积电与英特尔晶圆厂合资企业仍在进行中——AMD、博通和英伟达已接触TSMC and Intel foundry joint venture reportedly still in the works — AMD, Broadcom, and Nvidia approached
➀ 据报道,台积电和英特尔仍在进行一项潜在的合资企业,以运营英特尔的制造能力;
➁ 该合资 企业将涉及美国领先的无晶圆厂芯片设计公司,如AMD、博通、英伟达和高通;
➂ 该举措是作为对特朗普总统政府请求加强英特尔并确保持续的美国控制的回应。
03/12/2025, 10:00 AM UTC
撤销CHIPS法案可能 大幅缩减美国芯片市场份额,分析师表示Repealing the CHIPS Act could dramatically shrink US chip market share, analysts say
➀ 针对加强美国半导体制造业的CHIPS和科学法案,面临特朗普政府的撤销威胁。
➁ 该法案已吸引领先公司近4500亿美元的私人投资,引发了撤销法案对美国芯片市场影响的担忧。
➂ 政治障碍和法律义务使得撤销过程复杂且具有挑战性。
03/12/2025, 07:05 AM UTC
台积电讨论与英特尔合资收购晶圆代工厂,路透社报道TSMC discussing Intel jv, reports Reuters
➀ 台积电正在与英特尔、英伟达、博通、高通和AMD讨论合资收购英特尔晶圆代工厂的事宜;
➁ 为了满足白宫的要求,台积电计划在英特尔晶圆代工厂中持股不超过50%,以保持公司为美国所有;
➂ 该交易需要白宫的批准。
03/11/2025, 06:12 AM UTC
2025年第四季度先进晶圆厂节点表现良好,成熟节点增长放缓Q4 good for advanced foundry nodes; bad for mature nodes
➀ 先进晶圆厂工艺节点在第四季度实现了10%的季度环比增长,受AI服务器、智能手机处理器和PC需求推动,前十家晶圆厂的营收达到384.8亿美元。
➁ 台积电以268.5亿美元的营收和67%的市场份额领先,其次是三星代工,季度环比下降1.4%,至32.6亿美元,市场份额为8.1%。
➂ 中芯国际的营收季度环比增长1.7%,至22亿美元,市场份额为5.5%,位列第三。
03/10/2025, 03:52 PM UTC
华为去年通过壳公司从台积电收购了两百万颗Ascend 910 AI芯片Huawei reportedly acquired two million Ascend 910 AI chips from TSMC last year through shell companies
➀ 据报道,华为去年通过壳公司从台积电购买了多达两百万颗Ascend 910 AI芯片;
➁ 台积电为壳公司制造了大量华为Ascend 910B芯片,并将芯片运往中国;
➂ 对于这些芯片与HBM的集成,存在疑问;
➃ CSIS的报告表明华为实施了囤积策略;
➂ Ascend 910B和Ascend 910C的良率较低,大部分产品在发货时已关闭了部分计算元素。
03/10/2025, 11:54 AM UTC
2024年第四季度全球十大晶圆厂创收入新纪录,TSMC在先进制程节点领域领先,TrendForce称4Q24 Global Top 10 Foundries Set New Revenue Record, TSMC Leads in Advanced Process Nodes, Says TrendForce
➀ TrendForce的最新研究显示,2024年第四季度全球晶圆代工厂商呈现出两极分化的趋势;
➁ 先进制程节点得益于人工智能服务器、旗舰智能手机应用处理器和新PC平台的需求强劲;
➂ 这种需求有助于抵消成熟制程需求的放缓,使得全球十大晶圆厂的营收创下新纪录;
➃ TSMC在先进制程节点领域处于领先地位。
03/07/2025, 06:30 AM UTC
分析师称英特尔18A工艺良率仅为20-30%,潘塔纳尔湖处理器延迟发布Intel 18A running at 20-30% yields, says top analyst
➀ 据分析师明池州(Ming-Chi Kuo)报道,英特尔18A工艺的良率仅为20-30%;
➁ 这种低良率将延迟潘塔纳尔湖(Panther Lake)处理器的笔记本发布,影响英特尔财务状况和客户信心;
➂ 笔记本制造商可能会使用有限的认证样品来引入潘塔纳尔湖,因为台积电(TSMC)的2纳米工艺良率高于英特尔的18A。
03/07/2025, 01:00 AM UTC
如果台积电变成美国半导体制造公司,会发生什么?What If TSMC becomes ASMC?
➀ 台积电在亚利桑那州的晶圆厂扩张引发了台湾对其‘硅盾’可能丧失的担忧;
➁ 台积电已向台湾保证,它将保持台湾的先进技术,而海外晶圆厂虽然先进,但不是最先进的;
➂ 台积电对外投资超过4550万美元需要台湾政府的批准。
03/06/2025, 11:29 AM UTC
本周焦点:CHIIPS播客、TSMC亚利桑那州投资、ST MCU和无人机测试What caught your eye? (CHIIPS podcast, TSMC in Arizona, ST MCU, Drone tests)
➀ 电子一周刊CHIIPS播客上线,首期访谈Harriet Green。
➁ 台积电为规避特朗普关税,在亚利桑那州投资1000亿美元。
➂ 意法半导体将近阈值逻辑与Cortex-M33核心结合,推出节能型微控制器。
➃ 英国Westcott无人机测试和发展中心获得140万英镑资金用于设施升级。
03/06/2025, 06:28 AM UTC
特朗普称芯片法案是“糟糕透顶的事情”,芯片法案面临威胁Chips Act a “horrible, horrible thing”, says Trump
➀ 美国芯片法案在特朗普国会演讲后面临威胁;
➁ 特朗普敦促国会废除该法案,并使用剩余资金用于其他目的;
➂ 特朗普认为关税是支持国内芯片制造更好的方式,并以台积电在亚利桑那州投资1000亿美元建设新晶圆厂为例。
03/04/2025, 12:06 PM UTC
英特尔的风波:投资者们的困惑与中国的指责Shenanigans At Intel
➀ 投资者社区对英特尔未来的前景感到不确定;
➁ 台积电倾向于建造自己的晶圆厂而非接管英特尔,这引起了担忧;
➂ 美国政府对英特尔成为领先国内供应商的能力的看法受到质疑。
03/04/2025, 06:31 AM UTC
特朗普和蔡明忠宣布在亚利桑那州投资1000亿美元President Trump and C C Wei announce $100bn investment in Arizona
➀ 特朗普总统和蔡明忠宣布台积电在亚利桑那州投资1000亿美元,总投资达到1650亿美元;
➁ 该投资预计将创造数千个高薪工作岗位,并支持人工智能和智能手机的进步;
➂ 台积电计划在亚利桑那州再建三个晶圆厂和两个封装厂。
03/04/2025, 04:03 AM UTC
台积电投资7300亿赴美献诚意,魏哲家演讲,特朗普旁听TSMC Invests 730 Billion Yuan in US Expansion, CEO Wei Zhejia Speaks, Trump Listens
➀ 台积电宣布了1000亿美元的在美国投资计划,包括未来几年新建5座芯片工厂;
➁ 新的投资包括3个芯片制造厂和2个先进封装厂,以及在美国建设的一个大型研发中心;
➂ 特朗普强调了在美国本土制造芯片和半导体对于国家安全的重要性;
➃ 台积电承诺在未来4年内为美国创造4万个建筑工作岗位;
➄ 台积电已在亚利桑那州为美国客户生产4纳米芯片,并同意在其第二家亚利桑那州工厂生产2纳米芯片,预计2028年开始生产。
03/03/2025, 07:01 PM UTC
台积电将于2026年下半年在台湾开始A16工艺技术的量产,2028年在亚利桑那州新建工厂TSMC to begin mass production of A16 process tech in 2H 2026 in Taiwan, new Arizona fab in 2028
➀ 台积电计划于2026年在台湾开始A16工艺节点的量产,并于2028年扩展至亚利桑那州。
➁ 台积电在亚利桑那州的新晶圆厂已经开始量产,这是其在美国的第一个实现量产的工厂。
➂ 台积电的新2nm和A16工艺节点可能比之前计划得更早在美国生产。
02/27/2025, 09:26 AM UTC
海豚半导体为英伟达提供TSMC 22nm工艺的高性能LDO稳压器,应用于英伟达IPC产品Dolphin Semiconductor Provides High-Performance LDO Regulators in TSMC 22nm to Ingenic for IPC Applications
➀ 海豚半导体宣布与中国高性能SoC设计领域的领军企业英伟达合作。
➁ 海豚半导体将为英伟达提供TSMC 22nm工艺的低 dropout (LDO) 稳压器,用于摄像头应用。
➂ 此合作加强了海豚半导体在电源管理IP解决方案中的可靠合作伙伴地位。
02/23/2025, 07:01 PM UTC
台积电下一代2纳米月产能预计到2025年底达到3万片TSMC's next-gen 2nm monthly production capacity to hit 30,000 wafers by the end of 2025
➀ 台积电正在推进其2纳米工艺节点,预计到2025年底月产能将达到5万至8万片晶圆。
➁ 台积电的2纳米工艺节点已进入密集试产阶段,预计其新竹宝山厂每月可生产5,000至10,000片2纳米晶圆。
➂ 如果第二阶段进展顺利,月产能有可能在年底前达到8万片。
02/23/2025, 01:47 PM UTC
台積電2奈米月產能年底上看8萬片TSMC 2 Nanometer Production Capacity to Reach 80,000 Units by Year-End
➀ 台積電的2nm製程開發受到矚目,市場傳聞其年底前相關月產能可能達到5萬至8萬片;
➁ 台積電董事長魏哲家提到,台灣將繼續擴張先進製程及先進封裝產能,包括台南擴充3nm、新竹與高雄擴充2nm;
➂ 市場傳聞台積電的2nm製程進展神速,已進入緊鑼密鼓試產階段,其中新竹科學園區廠現階段預測已有5,000至1萬片2nm製程月產能。
02/21/2025, 10:05 AM UTC
最新新闻概览:台湾芯片业发展,本田-日产合并失败,英特尔与银湖洽谈出售AlteraMost Read – ISSCC overview, Taiwan chips, Intel-TSMC
➀ 慧荣科技主任吴政宪表示,台湾芯片业的发展经历了50年的艰苦努力;
➁ 本田和日产因对合并公司的期望存在重大差异而取消合并谈判;
➂ 英特尔正在与银湖合作伙伴进行高级谈判,出售其FPGA部门Altera的多数股权。
02/21/2025, 01:17 AM UTC
苹果iPhone 16e搭载公司首款自研5G调制解调器,采用台积电4nm和7nm工艺Apple's new iPhone 16e sports the company's first in-house 5G modem, made on TSMC 4nm and 7nm
➀ 苹果宣布推出iPhone 16e,搭载其首款自研5G调制解调器Apple C1,采用台积电4nm和7nm工艺制造。
➁ iPhone 16e售价为599美元,搭载A18处理器,提供出色的电池寿命。
➂ 新的C1调制解调器是iPhone中最节能的基带芯片,结合了台积电的4nm和7nm工艺。
02/20/2025, 01:47 PM UTC
苹果推出自研C1调制解调器,距收购英特尔5G业务已六年Apple rolls out its C1 modem six years after buying in the technology from Intel
➀ 苹果在收购英特尔5G调制解调器业务单元六年后,推出了其首款自研5G调制解调器C1。
➁ C1专为iPhone 16e设计,这是一款面向主流市场的智能手机,承诺具有高能效和快速连接。
➂ 苹果尚未披露C1实现高能效的具体方式,但可能得益于定制的核心和台积电的4nm级工艺技术。
02/19/2025, 08:02 PM UTC
英特尔高级工程师: 台积电合作是错误,英特尔18A工艺更先进,已有早期用户Intel principal engineer: TSMC deal a mistake, Intel 18A is more advanced, has early adopters
➀ 英特尔高级工程师约瑟夫·博内蒂反对传闻中的台积电合作,声称英特尔18A工艺节点更先进且接近完成。
➁ 博内蒂提到,英特尔18A的早期用户包括微软和亚马逊。
➂ 他讨论了英特尔面临的挑战以及英特尔晶圆代工服务(IFS)因大量投资和缺乏主要外部合同而导致的亏损。
02/19/2025, 04:18 AM UTC
英特尔内部人士:将晶圆厂控制权交给台积电,将是一个可怕的错误!Intel Insider: Giving Wafer Fab Control to TSMC Would Be a Terrible Mistake!
➀ 英特尔内部人士Joseph Bonetti对关于英特尔困境和可能与台积电合作的传言表示异议,他认为英特尔在半导体制造方面正在取得重大进展。
➁ 他强调了英特尔的3nm和2nm工艺技术,指出Intel 3已经量产,下一代Intel 18A也接近完成。
➂ 他强调英特尔在High-NA EUV光刻方面的领先地位,这对于1nm级先进工艺至关重要。
➃ 尽管面临财务困难,Bonetti相信英特尔晶圆代工将通过其产品证明自己,并吸引微软和亚马逊等主要客户。
➄ 他警告说,将英特尔晶圆厂的控制权交给台积电将对英特尔和美国领导地位造成潜在损害。
02/18/2025, 12:20 PM UTC
英特尔高级工程师哀叹TSMC潜在收购,称赞公司18A技术优势Intel principal engineer bemoans potential TSMC takeover, touts company's 18A tech advantage
➀ 英特尔高级工程师对TSMC可能收购英特尔晶圆厂表示担忧。
➁ 他强调了英特尔18A技术的优势以及半导体制造方面的进步。
➂ 博内蒂反驳了TSMC工程师对于英特尔最新工艺技术是必需的这一观点。
02/18/2025, 06:12 AM UTC
西门子推出TSMC InFO封装技术的自动化工作流程Automated workflow for TSMC InFO packaging
➀ 西门子数字工业软件公司推出了TSMC InFO封装技术的自动化和认证工作流程。
➁ 该工作流程由Innovator3D IC驱动,包括Xpedition Package Designer软件、HyperLynx DRC和Calibre nmDRC技术。
➂ 西门子数字的执行副总裁AJ Incorvaia强调了为顾客提供更多设计途径。
02/17/2025, 06:00 PM UTC
与Alchip的David Hwang展望2025:关键亮点解读Outlook 2025 with David Hwang of Alchip
➀ 预计Alchip在2024年的营收将超过10亿美元,为公司发展树立了重要里程碑;
➁ 公司致力于先进技术的研究,包括2nm测试车间的发布和3DIC设计流程的准备工作;
➂ Alchip通过灵活且强大的3DIC设计流程解决先进封装的挑战,优化功率传输、互连和热特性。
02/17/2025, 06:27 AM UTC
英特尔与台积电制造协议提议TSMC-Intel manufacturing deal proposed
➀ 新美国政府提议了英特尔与台积电之间的协议;
➁ 台积电可能接管英特尔的部分或全部制造工厂;
➂ 假设包括台积电和英特尔之间的合资企业,美国芯片设计公司可能投资。
02/16/2025, 05:00 PM UTC
AMAT QTR业绩:中国市场冲击与行业展望AMAT- In line QTR – poor guide as China Chops hit home- China mkt share loss?
➀ 应用材料公司(AMAT)因中国市场份额流失,季度业绩指引低于预期。
➁ AMAT将业绩下滑归因于中国出口限制,但分析师认为市场份额流失也是一个因素。
➂ 国内半导体设备制造商正在获得市场份额,这可能减少AMAT的销售。
02/16/2025, 02:15 PM UTC
下月RTX 5090供应将“非常充足” - 消息人士称,GB200晶圆被重新用于消费级芯片RTX 5090 supplies to be 'stupidly high' next month as GB200 wafers get repurposed, asserts leaker
➀ 下个月,由于台积电GB200晶圆的过剩产量被重新用于消费级GB202芯片,Nvidia的GeForce RTX 5090供应预计将“非常充足”。
➁ 数据中心GB200芯片的需求低于Nvidia的预期,导致晶圆的重新分配。
➂ 这种变化反映了从数据中心到消费级GPU的焦点转移,因为Nvidia约90%的收入来自数据中心产品。
02/16/2025, 11:17 AM UTC
2024年顶级半导体代工厂收入Top Semiconductor Foundries 2024 Revenue
➀ 提供了一份2024年全年业绩的总结表,包括各公司的收入、同比增长以及相关备注。
➁ 作为全球领先的代工厂,台积电(TSMC)报告了卓越的增长,但具体的第四季度数据未详细披露。
02/16/2025, 10:18 AM UTC
台积电年终分红31.2亿,人均44万!TSMC's Annual Dividend Reaches 31.2 Billion New Taiwan Dollars, Average Employee Exceeds 440,000 RMB!
➀ 台积电董事会核准2024年度员工业绩奖金与酬劳(分红)总额达1405.9亿元新台币(约合312亿元人民币);
➁ 2024年全年营收约为2.894万亿元新台币,年增33.9%,税后净利润1.1732万亿元新台币;
➂ 为大多数领先的芯片开发商提供服务,包括苹果、高通和联发科。
02/16/2025, 05:29 AM UTC
芯片,大变局Semiconductor Industry: The Great Shift
➀ 台积电面临特朗普关税和美国政府可能征收的额外税收的挑战。
➁ 台湾半导体行业面临在美国建立更多晶圆厂的巨大压力。
➂ 台湾晶圆厂如台积电和联电正在面临美国关税和中国大陆晶圆厂的竞争的双重压力。
➃ 台湾无晶圆厂公司如联发科正在适应地缘政治风险并探索新市场。
➄ 韩国半导体行业面临来自中国竞争对手和美国关税的挑战。
➅ 台湾和韩国都在寻求技术创新和供应链安全之间的平衡。
02/16/2025, 05:28 AM UTC
博通与台积电欲分拆英特尔:一项颠覆性的潜在交易Broadcom and TSMC Consider Splitting Intel: A Groundbreaking Potential Deal
➀ 博通和台积电正在考虑与英特尔达成一项拆分该公司的交易,博通对英特尔的芯片设计业务感兴趣,而台积电则在关注英特尔的工厂。
➁ 博通一直在密切关注特尔的芯片设计和营销业务,并与顾问进行了 非正式讨论,但只有在为英特尔的制造业务找到合作伙伴的情况下才会这样做。
➂ 在英特尔最近的困境之前,这些潜在交易都是不可想象的,可能导致英特尔的解体。
02/16/2025, 01:54 AM UTC
台积电CEO否认收购英特尔半导体工厂TSMC CEO ruled out acquiring Intel's semiconductor fabs back in October 2024
➀ 台积电CEO魏哲家否认了收购英特尔美国半导体工厂的计划,尽管有相关传闻。
➁ 魏哲家强调英特尔是台积电的一个非常好的客户,并为公司带来了大量的业务。
➂ 特朗普政府对外国实体拥有美国芯片工厂的态度以及是否支持外国公司运营英特尔工厂的情况仍然不明朗。
02/16/2025, 12:44 AM UTC
高通,危险!High-Tech Warning: Qualcomm in Jeopardy!
➀ 高通正面临来自联发科市场份额上升和英伟达入局的手机芯片市场竞争压力。
➁ 服务器CPU市场,Arm的跨界竞争正在挤压高通的市场份额。
➂ 在汽车座舱芯片领域,联发科和英特尔的表现对高通构成威胁。
➃ 台积电的先进制程技术为芯片设计公司提供了支持,挑战高通。
➄ 高通首席财务官预计2025年整体市场要么持平,要么增长个位数。
➅ 高通CEO强调致力于实现2029财年非手机业务营收达到220亿美元的目标。
02/16/2025, 12:06 AM UTC
台积电将于2025年中开始建设亚利桑那州第三座工厂,比计划提前整整一年TSMC to begin construction on 3rd fab in Arizona in mid-2025, entire year earlier than planned
➀ 台积电计划于2025年中开始建设其在亚利桑那州的第三座工厂,比原计划提前一年。
➁ 公司还在考虑在美国建立一个新的CoWoS先进封装工厂。
➂ 这项扩张是台积电在美国增加其影响力的战略之一,同时也是为了应对半导体行业外国控制的担忧。
02/15/2025, 09:03 PM UTC
NVIDIA GeForce RTX 5090供应量将“非常高”,让黄牛“哭得很难受”NVIDIA GeForce RTX 5090 supply will be 'stupidly high soon' leaving scalpers to 'cry so hard'
➀ NVIDIA的GeForce RTX 5090显卡需求旺盛,但库存有限;
➁ 为了应对需求,NVIDIA利用多余的TSMC产能生产更多的RTX 50系列GPU;
➂ 预计RTX 5090的供应量将显著增加,影响黄牛;
➃ 即将推出的产品包括RTX 5070 Ti、RTX 5070和RTX 5060。
02/15/2025, 03:04 AM UTC
外媒:台积电考虑收购英特尔工厂控股权Taiwan Semiconductor Manufacturing Company Considers Acquiring Majority Stake in Intel's Factory
➀ 台积电正在考虑收购英特尔工厂的控股权,这是应特朗普政府的要求,旨在促进美国制造业的发展并保持关键技术领域的领先地位。
➁ 谈判目前处于初期阶段,潜在合作的具体结构尚未确定。
➂ 该安排可能包括允许美国主要芯片设计公司投资,并获得美国政府的支持。
02/14/2025, 06:01 PM UTC
Arm将推出首款自研芯片,Meta成为首个大客户Arm to unveil first in-house chip after securing Meta as first major customer
➀ Arm计划推出其首款自研芯片,可能是一款服务器CPU芯片,将由台积电生产;
➁ 报道称,Arm已将Meta作为其首个客户之一,计划最早于今年夏天推出这款芯片;
➂ Arm原本设计半导体结构并将其设计许可给苹果、英伟达等公司,现在将带着自己的服务器CPU进入市场。
02/14/2025, 10:02 AM UTC
最新动态:AI、NXP收购Kinara、TSMC 3nm工艺Most Read – FPGA AI, OpenAI tapes-out, NXP acquisition
➀ 英国航天局支持Excelerate的多波束卫星天线项目;
➁ 达拉帕诺(NOM4D)项目测试太空制造;
➂ PositronAI筹集2350万美元用于基于FPGA的人工智能推理IC;
➃ OpenAI将在TSMC 3nm工艺上tape-out其首款芯片;
➄ NXP收购NPU专家Kinara。
02/11/2025, 04:58 PM UTC
硅创科技在TSMC N2P工艺上扩展时钟IP组合,包括新型温度传感器设计Silicon Creations Expands Clocking IP Portfolio on TSMC N2P Technology including Novel Temperature Sensor Design
➀ 硅创科技在TSMC N2P工艺上成功流片;
➁ 该芯片包括一项新型温度传感器设计;
➂ 扩展的时钟IP组合支持下一代半导体产品。
02/11/2025, 06:16 AM UTC
OpenAI今年将在台积电3nm制程上流片OpenAI to tape-out on TSMC 3nm this year
➀ OpenAI计划在今年晚些时候在台积电的3nm制程上流片其首款芯片;
➁ 该芯片设计用于训练和推理,由内部团队开发;
➂ 目标是减少对价格昂贵且需求量大的NVIDIA处理器的依赖。
02/09/2025, 10:15 AM UTC
台积电断供大陆16/14nm工艺芯片的五点思考Five Thoughts on TSMC's Cutting Off 16/14nm Process Chip Supplies to Mainland China
➀ 根据公布的名单,获批的IC设计公司和获得封测厂主要是欧美以及我国台湾企业,这基本就是明确地要技术脱钩了!所以不要再幻想特朗普登台以后会将拜登的政策有所改变,要完全放弃幻想,必须构建完整自主的本土电子信息产业链。
➁ 美国要求敏感的芯片必须外包,必须用其指定的封测企业,说明封测方面也成为美国下一个要打压的技术点,我们不但要大力提升晶圆制造能力,更要提升封测能力,确保设计、制造、封测三驾马车能一起前行,在此次断供事件中,芯片设计企业需要与封装测试企业、晶圆制造企业等密切合作,共同应对挑战。未来,应加强产业链上下游企业之间的合作,形成产业联盟,共同推动芯片产业的发展。
➂ “16nm/14nm节点”以上的节点未来会安全吗?比如20nm,28nm工艺,我认为只要我们形成了的完整自主产业链,这些节点不用担心断供。
➃ 我们还是需要尽快现“16nm/14nm节点”工艺的足量供应,否则会影响到很多产品的升级。
➄ 短期内聚焦28nm及以上成熟制程的技术优化和产能扩充(如中芯国际、华虹半导体),同时向特色工艺(如车规芯片、第三代半导体)突破。中长期通过先进封装突破如通过Chiplet、3D堆叠等技术,用成熟制程+封装创新实现系统级芯片性能提升,降低对先进制程的依赖。此外,加速新材料与新架构的研发,如加速第三代半导体(SiC/GaN)、存算一体芯片、硅光芯片等新兴领域布局,实现换道超车。
02/07/2025, 01:10 PM UTC
美国对华半导体限制新规生效:台积电暂停向部分IC设计厂商发货!New U.S. Semiconductor Restrictions on China Take Effect: TSMC Suspends Shipments to Some IC Design Firms!
➀ 美国商务部工业与安全局(BIS)出台了针对中国的新的出口管制法规(EAR),要求对使用16/14纳米或以下先进制程节点的芯片进行更多尽职调查程序。
➁ 新的出口管制法规在正式公布15天后(即北京时间1月31日)已经正式生效,已经开始影响到部分中国芯片厂商的相关先进制程芯片生产与交付。
➂ 台积电等晶圆代工厂为在白名单中的芯片设计企业代工先进制程芯片将不受限制,而不在白名单中的芯片设计企业(包括大陆及境外企业)要么向美国商务部提交申请,要么最终的封装需要交由在白名单中的OSAT企业来进行封测。
02/07/2025, 09:41 AM UTC
1nm革命:台积电下一代芯片厂将改变台湾南部1nm Revolution: TSMC’s Next-Gen Chip Plant to Transform Southern Taiwan
➀ 台湾领先的半导体制造商台积电据报道计划在台南市的沙仑地区建设一座新的1纳米制造厂;
➁ 这座巨大的设施,即Giga-Fab,能够容纳六个12英寸晶圆厂;
➂ 它旨在利用台湾南部科学园区(STSP)现有的先进制造集群,并战略性地连接到科学园区。
02/05/2025, 03:54 AM UTC
台积电计划涨价15%TSMC Plans to Increase Prices by 15%
➀ 台积电今年可能将其最先进的半导体晶圆价格提高高达 15%。
➁ 上涨的原 因是生产成本上升和潜在的美国关税。
➂ 价格上涨可能会导致最终产品进一步偏离摩尔定律曲线。
➃ 预计台积电将从 7nm 开始提高较小节点的价格,每片晶圆的成本约为 10,000 美元。
➄ 台积电最有特权的客户苹果目前每片 3nm 晶圆支付 18,000 美元。
➅ 新的关税可能会使 3nm 的价格上涨至每片晶圆约 20,000 至 23,000 美元。
➆ 与前十年的产品相比,晶圆价格更高,这已经导致设备价格更高,但性能改进却不那么令人印象深刻。
➇ 近期的 iPhone 芯片并没有像以前那样大幅超越其前代产品,而 Nvidia 最新发布的 RTX 50 系列是近年来最令人失望的显卡之一。
➈ 台积电计划今年在亚利桑那州开始生产 4nm 芯片,并计划在接下来的十年内生产 3nm 和 2nm 等更先进的节点。
➉ 最近有传言称,台积电将在台湾台南市建造一座 1nm 超级晶圆厂。
02/05/2025, 03:50 AM UTC
晶圆代工预测:增长20%Wafer Foundry Forecast: Growth of 20%
➀ 预计今年全球晶圆代工行业将增长20%,这得益于AI热潮推动的先进半导体制程需求。
➁ 领头的晶圆代工企业台积电预计将超越行业平均增长速度。
➂ 台积电股价反弹,市值重返28兆元以上,预计2025年美元营收增长可达24%至26%。
➃ 预计今年全球半导体营收将增长13.4%,达到7140亿美元的高峰。
➄ AI应用推动了对AI/HPC芯片和存储的需求,尤其是高频宽内存(HBM)需求强劲。
➅ 尽管有增长潜力,地缘政治和贸易关税因素可能对半导体行业产生负面影响。
02/04/2025, 06:28 AM UTC
英特尔采取“务实”产品路线图Intel gets real
➀ 英特尔对其产品路线图采取了“务实”的方法,取消了Falcon Shores项目,并放慢了18A产品的推出。
➁ 分析师Stacy Rasgon认为英特尔的AI加速器故事“已经死亡”。
➂ 英特尔正在考虑将更多产品转移到代工厂,这可能会降低利润率。
01/25/2025, 02:27 AM UTC
台积电大部分产线23日恢复,联电24日复工!Taiwan Semiconductor Manufacturing Company (TSMC) Most Production Lines Resume Operations on January 23rd, UMC Resumes Work on January 24th!
➀ 南部科学园区在1月21日发生6.4级地震后已恢复正常的运营。
➁ 截至1月23日,台积电的生产线已经基本恢复,而联电于1月24日复工。
➂ 此次地震导致台积电大约6万片晶圆受损,损失金额估计超过30亿新台币(约9150万美元)。
01/24/2025, 09:59 AM UTC
最新新闻:英特尔、FD-SOI晶圆厂、地震Most Read – Intel, FD-SOI fab, Earthquake
➀ 特朗普宣布投资5000亿美元用于人工智能基础设施。
➁ 台湾南部发生地震,导致台积电晶圆厂暂时停止运营进行安全检查。
➂ 意法半导体与格芯计划共建的联合FD-SOI 12英寸晶圆厂项目已被搁置。
01/23/2025, 01:20 PM UTC
三星削减晶圆厂投资一半至35亿美元,报告称竞争对手将增加投入Samsung to cut foundry investment in half, to $3.5B, says report — Rivals expected to invest more
➀ 三星据报道将其晶圆厂资本支出削减了一半,2024年为35亿美元,而去年为70亿美元。
➁ 这一决定归因于客户需求减少和提高效率的努力。
➂ 相比之下,台积电和英特尔计划在2025年显著增加资本支出。
01/23/2025, 12:06 AM UTC
英特尔下一代'Nova Lake' CPU现身货运清单,接替Panther LakeIntel's next-gen 'Nova Lake' CPU spotted in shipping manifest, succeeds Panther Lake
➀ 英特尔即将推出的Nova Lake CPU在货运清单中现身,表明其下一代消费级平台正在取得进展。
➁ 英特尔的下一代CPU架构将是Panther Lake,在CES 2025上展示,这将是英特尔在其新Intel 18A工艺节点上的首个消费级平台。
➂ 英特尔的下一代Nova Lake CPU预计将在Intel 14A或TSMC 2nm工艺上制造,并且不会配备封装 内存。
01/21/2025, 12:41 PM UTC
台积电在台湾地震后恢复生产TSMC restores operations after magnitude 6.4 quake halts production in Taiwan
➀ 6.4级地震袭击了台湾南部,导 致台积电停止生产和疏散工人。
➁ 地震后的检查确认了台积电设施的安全性,允许其逐步恢复运营。
➂ 尽管表现出韧性,但台积电仍易受重大灾害的影响,这可能会影响全球电子设备供应链。
01/21/2025, 06:17 AM UTC
地震袭击台积电工厂Earthquake hits TSMC fabs
➀ 今天早些时候,台湾南部发生6.4级地震,导致台积电在嘉义县的中科园区 和南科园区的工厂疏散人员。
➁ 没有人员伤亡报告,但为了安全检查,运营暂时停止。
➂ 台积电报告称设备受损轻微,并且大部分工具已经恢复了70%以上,不过一些生产线可能会面临延迟。
01/20/2025, 06:25 AM UTC
英特尔追赶工艺技术的希望渺茫Intel’s process tech catch-up looks unlikely
➀ 在TSMC宣布其A16工艺将在2026年下半年进入大规模生产后,英特尔今年或明年超越TSMC在工艺技术上的希望受到挑战。
➁ 英特尔计划在2025年下半年开始提升其18A工艺,但预计要到2026年才会实现大规模生产,而TSMC预计将在2025年下半年在其等效的N2工艺上实现高产量生产。
➂ 随着潜在收购传闻和投资者对英特尔追赶工艺技术的挫败感,英特尔的情况看起来很不乐观。
01/20/2025, 02:08 AM UTC
英伟达与台积电合作开发机器人和自动驾驶汽车NVIDIA and TSMC working on new opportunities for robotics and autonomous vehicles
➀ 英伟达CEO黄仁勋正在与台积电合作开发机器人和自动驾驶汽车。
➁ 英伟达即将推出的Jetson Thor SoC和Project GROOT机器人是这一合作的一部分。
➂ 这一合作还包括英伟达和台积电之间的联合硅光子学研究项目。
01/17/2025, 03:06 PM UTC
台积电为1.6nm芯片设定量产目标日期TSMC sets 'volume production' target date for 1.6nm chip
➀ 台积电正在增加支出,预计到2026年实现1.6nm芯片的量产;➁ 台积电计划在2024年增加2nm芯片的生产;➂ 台积电预计2025年营收将增长约20%。01/16/2025, 08:04 AM UTC
台积电2025年资本支出预计为380-420亿美元TSMC 2025 capex expected at $38-42bn
➀ 台积电预计2025年的资本支出(capex)将在380至420亿美元之间;➁ 其中70%将用于先进制程,20-30%用于成熟制程,10-20%用于封装、测试和其他领域;➂ 公司预计第一季度收入为250至258亿美元,毛利率为57-59%,营业利润率为46.5-48.5%。01/15/2025, 04:28 PM UTC
美国禁止向中国出口30亿以上晶体管的14nm和16nm芯片US bans sales of 14nm and 16nm chips with over 30 billion transistors to China
➀ 美国政府计划对台积电、GlobalFoundries、英特尔和三星代工生产的先进处理器实施更严格的出口管制。这些规则针对14nm或16nm节点上含有30亿或更多晶体管的处理器。➁ 例外包括晶体管数量少于30亿的芯片以及由美国或台湾公司设计的芯片。➂ 新规定可能影响主流GPU向中国实体销售,特别是在AI和游戏领域。01/15/2025, 12:00 PM UTC
摩尔定律延续的威胁:缺乏竞争力而非技术挑战Lack Of Competitive Vigour is Threat To Moore’s Law
➀ 在IFS2025上,Malcolm Penn讨论了摩尔定律的威胁,强调这不是技术挑战,而是缺乏竞争力构成了威胁。➁ 他指出英特尔和三星在先进工艺上未能赶上台积电是导致这一现象的因素之一。➂ Penn强调了台积电的成本管理以及没有竞争可能导致价格上涨,强调了成本降低对行业发展的重要性。01/13/2025, 06:30 AM UTC
英特尔18A处理器样品送出Intel 18A processor sampling
➀ 英特尔基于18A工艺的Panther Lake处理器已向PC客户送出样品并应用于系统,预计将于2025年下半年发布。 ➁ Panther Lake的H2生产将与台积电的N2工艺相当。 ➂ 英特尔计划在2026年上半年为首个外部晶圆代工客户制造基于18A工艺的芯片。01/12/2025, 01:01 PM UTC
台积电终止与新加坡公司合作,疑其与华为有联系TSMC ends contract with Singapore-based company over alleged Huawei ties
➀ 台积电因疑似与华为有联系而终止与新加坡公司PowerAIR的合作;➁ 对可能违反美国出口控制的担忧;➂ PowerAIR缺乏透明度,引发对其最终用户和技术转移的怀疑。01/11/2025, 01:39 PM UTC
台积电亚利桑那州工厂21号厂已生产4nm芯片——良率和质量据报道与台湾工厂相当TSMC's Arizona Fab 21 is already making 4nm chips — yield and quality reportedly on par with Taiwan fabs
➀ 台积电亚利桑那州工厂21号厂已经开始生产4nm芯片;➁ 这些芯片采用台积电的4nm级工艺——N4和N4P;➂ 该项目是美国到2030年生产全球20%最先进逻辑芯片目标的一部分。01/10/2025, 07:59 PM UTC
美国制造:台积电亚利桑那工厂生产新款Apple Watch芯片和AMD Ryzen 9000台式CPUMade in the USA: TSMC making new Apple Watch chips, AMD Ryzen 9000 desktop CPUs in Arizona
➀ 台积电亚利桑那工厂已获得合同,生产苹果的S9 SiP用于Apple Watch和AMD的Ryzen 9000系列处理器。这两个产品现已投产。该工厂月产量为1万台,尽管存在工具瓶颈,但计划增加产能。➁ 亚利桑那工厂还在生产AMD的新Ryzen 9000系列'Granite Ridge'桌面Zen 5处理器。➂ 台积电董事会计划于2月在亚利桑那州举行会议。01/10/2025, 01:39 PM UTC
台积电2024年营收达880亿美元TSMC had 2024 revenues of $88bn
➀ 台积电2024年营收达到880亿美元;➁ 12月营收为84.5亿美元,较11月增长0.8%,同比增长58%;➂ 2024年总营收同比增长34%。01/07/2025, 03:00 PM UTC
Phison PS5028-E28 Gen5 SSD控制器:专为游戏和生产力打造,容量高达32TB,读写速度达14.5GB/sPhison PS5028-E28 Gen5 SSD controller: for serious gaming, capacity of up to 32TB at 14.5GB/sec
➀ 慧荣科技推出PS5028-E28 Gen5 SSD,容量高达32TB,读写速度可达14.5GB/s;➁ 控制器基于台积电6nm工艺制造,提供3000K IOPS的随机性能;➂ 游戏时无需散热器,但持续工作负载时建议使用。01/07/2025, 02:22 PM UTC
台积电成本上涨挤压苹果A系列芯片利润TSMC’s Rising Costs Squeeze Apple’s A-Series Chip Profits
➀ 台积电的芯片制造成本急剧上升;➁ 从A7的28nm工艺到A18 Pro的尖端3nm节点转换导致晶圆价格大幅上涨;➂ 第三方供应商已确认成本的大幅上升。01/05/2025, 06:48 AM UTC
TSMC 2纳米晶圆每片达4400万韩元…苹果也因成本压力而权衡量产时机TSMC 2나노 웨이퍼당 4400만원… 애플마저 가격 부담에 양산 시기 ‘저울질’
➀ 苹果因TSMC 2纳米晶圆高昂的成本(每片4400万韩元)正在考虑推迟其新iPhone的生产;
➁ TSMC 2纳米工艺的产能有限,导致价格上涨,该公司正在投资扩大生产能力;
➂ 三星电子也 在2纳米市场展开竞争,通过提高良率和性能来争夺市场份额。
01/05/2025, 05:58 AM UTC
三星封装挑战:半导体巨头的兴衰Samsung's封装Challenge: The Rise and Fall of a Giant in Semiconductor Packaging
➀ 2022年初,三星试图进入半导体代工市场,但与台积电相比,在先进封装方面的弱点使其遭遇挑战。
➁ 三星积极招聘 关键人才并投资先进封装技术,以追赶台积电。
➂ 尽管在封装技术方面取得早期进展,但由于缺乏投资和市场关注,三星难以保持其竞争优势。
01/05/2025, 05:11 AM UTC
三星Galaxy S25将不再使用自家芯片:骁龙8 Elite处理器,搭载美光LPDDR5内存Samsung won't use own chip or RAM inside Galaxy S25: Qualcomm Snapdragon, Micron LPDDR5 instead
➀ 三星Galaxy S25智能手机将搭载高通骁龙8 Elite处理器,取代自家的Exynos 2400;➁ 据传闻,这些设备将使用美光LPDDR5内存;➂ 近期基准测试显示出色的性能,表明国际版将全面转向骁龙芯片。01/03/2025, 01:08 AM UTC
2025年大问题:谁将在年底前拥有最好的2nm工艺?2025’s Big Question
➀ 预计台积电将在年底前拥有最好的2nm工艺,2025年第四季度将大规模生产GAA工艺,预计产量达到60%。➁ 三星计划在2025年第四季度启动2nm生产,但不会在2027年之前优化BSPD。➂ 尽管报道了10%的产量,英特尔也计划采用BSPD和GAA的2nm工艺。➃ 日本的暗马(Rapidus)希望在今年4月推出其首批2nm原型芯片,并在年底开始生产,2027年实现量产。01/01/2025, 02:22 PM UTC
Nvidia RTX 5080 预计 1 月 21 日上市 —— 预计在 CES 上亮相,销售禁令两周后解除Nvidia RTX 5080 rumored to hit shelves on January 21 — expected to debut at CES with sales embargo reportedly lifting two weeks later
➀ 据传闻,Nvidia 的 RTX 5080 预计将于 1 月 21 日上市;➁ 预计将在 CES 上亮相,销售禁令两周后解除;➂ 搭载 84 个 SM 单元或 10,752 个 CUDA 核心,16GB 的 GDDR7 内存。12/31/2024, 07:47 AM UTC
台积电开发硅光子技术缓解GPU过热问题TSMC develops silicon photonics tech to ease overheating in GPU
➀ 台积电已开发出共封装光学(CPO)技术;➁ 该技术集成了芯片和光学器件;➂ 目的是缓解GPU(尤其是英伟达GPU)的过热问题。12/30/2024, 06:17 AM UTC
台积电在日本启动量产TSMC starts volume production in Japan
➀ 台积电在其首个日本工厂开始量产;➁ 该工厂预计能生产至6nm工艺,产能为55k wpm;➁ 首批产品为索尼和电装的图像传感器和汽车IC;➂ 台积电计划于2025年第一季度开始在熊本开始第二个工厂的建设。12/29/2024, 09:28 PM UTC
三星失去高通骁龙8 Elite 2芯片订单,转投台积电N3P工艺节点Samsung loses bid to make Qualcomm Snapdragon 8 Elite 2 chip, moves to TSMC N3P process node
➀ 三星失去了骁龙8 Elite 2处理器的订单,转给了台积电;➁ 台积电将使用其N3P工艺节点进行量产;➂ 这对三星半导体业务来说是一次挫折,因为其高端芯片生产一直面临挑战。12/28/2024, 12:38 PM UTC
高通骁龙8精英2将采用台积电N3P工艺,三星疑似失去订单[News] Qualcomm’s Snapdragon 8 Elite 2 to Use TSMC’s N3P as Samsung Reportedly Lost Order
➀ 根据《自由时报》援引《金融时报》的报道,近年来,主要科技公司大幅增加资本支出,以获取人工智能芯片和建设数据中心。➁ 然而,最近全球数据中心投资放缓,引发了对人工智能热潮可能正在降温的担忧。➂ 高通的骁龙8精英2将采用台积电的N3P工艺,而据报道,三星失去了订单。12/28/2024, 04:11 AM UTC
对英特尔晶圆厂的一些建议Suggestions for Intel's Wafer Fab
➀ 英特尔需要填满其所有晶圆厂以保持其在半导体制造领域的竞争力;
➁ 台积电通过与日本和欧洲等地的合作迅速发展,增强其竞争优势;
➂ 由于监管障碍,英特尔未能成功收购 Tower Semiconductor,错失了机会;
➃ 台积电与 日本和欧洲公司合作建立晶圆厂是战略举措;
➄ 英特尔需要转型,并考虑成立通用代工平台联盟,以填满其晶圆厂和封装设施。
12/27/2024, 02:00 PM UTC
英特尔共同平台代工厂联盟The Intel Common Platform Foundry Alliance
➀ 英特尔需要填满其晶圆厂以保持竞争力;➁ 台积电通过与日本和欧洲的新合作伙伴关系快速发展;➂ 英特尔可能从建立一个共同的代工厂平台联盟中受益。12/26/2024, 02:08 PM UTC
三星电子面临挑战,韩国考虑成立KSMC半导体公司TSMC dominance leads South Korea to consider its own semiconductor biz with KSMC
➀ 台积电在半导体行业的统治地位促使韩国考虑成立KSMC;➁ KSMC的目标是支持本地半导体行业发展;➂ 面临的挑战包括技术差距和人才短缺。12/25/2024, 03:01 PM UTC
苹果下一代M5系列处理器曝光:TSMC N3P节点,服务器级SoIC先进封装Apples next-gen M5 series processor leaks: TSMC N3P, server-grade SoIC advanced packaging
➀ 苹果下一代M5系列处理器正在使用TSMC的N3P节点进行 原型设计;➁ 预计M5、M5 Pro、M5 Max和M5 Ultra将在2025年和2026年实现量产;➂ 采用服务器级SoIC封装和独立的CPU和GPU设计,以提高产量和热性能。12/23/2024, 01:39 PM UTC
苹果M5系列芯片:先进特性与时间表Apple M5 Series Chip: Advanced Features and Timelines
➀ M5系列芯片将采用台积电先进的N3P节点,预计2025年和2026年实现量产。
➁ M5 Pro、Max和Ultra将采用服务器级SoIC封装,并使用2.5D封装以提高良率和散热性能。
➂ 高端M5芯片量产 之后,苹果的PCC基础设施建设将加速,以更好地支持AI推理。
12/22/2024, 01:07 AM UTC
华硕董事长:我们正在开发一个类人机器人,将与埃隆·马斯克的特斯拉Optimus机器人竞争ASUS chairman: we are working on a humanoid robot, will fight Elon Musk's Tesla Optimus robot
➀ 华硕正在开发其首个类人机器人,以与特斯拉的Optimus竞争;➁ 尽管已有Zenbo机器人,华硕正进入类人机器人市场;➂ 行业面临AI和通用人工智能(AGI)发展的挑战,重点关注类人机器人。12/21/2024, 05:26 AM UTC
分析师:台湾成熟制程应迅速整合Analyst: Taiwan's Mature Process Should Integrate Quickly
➀ 台湾半导体产业预计增长16%,台积电增长25%,动力来自英特尔订单、先进制程产能及AI订单。
➁ 分析师建议,美国对中国的成熟制程限制可能效果不如预期,台湾成熟制程业者应考虑整合以实现规模经济。
➂ 台积电2025年营收年增率预计25%,但最大变数是美国政策。
12/19/2024, 06:00 PM UTC
TSMC在IEDM上展示全球最先进的逻辑技术:2nm平台TSMC Unveils the World’s Most Advanced Logic Technology at IEDM
➀ TSMC在IEDM上发布了其2nm平台技术, 具备用于AI、HPC和移动应用的GAA纳米片晶体管。➁ N2技术相比3nm节点在速度和功耗效率方面实现了显著提升。➂ TSMC的N2预计将于2025年下半年开始量产,而增强版N2P则预计于2026年投产。12/19/2024, 06:11 AM UTC
IDC 2025年半导体趋势预测IDC’s Semiconductor Trends For 2025
➀ 预计内存增长将超过24%,主要由HBM3和HBM3e等高端产品推动,HBM4预计将在2025年下 半年推出。非内存预计增长13%,受AI服务器、高端手机IC和WiFi7对先进节点IC的需求驱动。➁ 亚洲-太平洋IC设计市场预计将增长15%,随着库存水平稳定、个人设备需求增加以及AI计算扩展到广泛应用。➂ 台积电在Foundry 1.0和2.0中的市场份额预计将增加,随着2nm和3nm等先进节点的扩张。➃ 预计2nm和3nm的生产将加速,台积电和三星将领先。➄ 预计晶圆代工利用率将增加,2025年是2nm量产的关键年份。➅ 中国的封装和测试市场份额预计将上升,2025年之后FOPLP将快速增长。12/18/2024, 02:00 PM UTC
机器学习与多物理场在3D设计和HBM中的应用ML and Multiphysics Corral 3D and HBM
➀ 3D设计与HBM在先进半导体系统中至关重要;➁ 大型系统设计需要多芯片封装;➂ 多物理场和机器学习对于优化性能和可靠性至关重要。12/17/2024, 10:57 AM UTC
联电获得高通重要先进封装订单,挑战台积电行业主导地位UMC Secures Major Advanced Packaging Order from Qualcomm, Challenging TSMC’s Dominance
➀ 联电在先进封装市场取得重大突破;➁ 该合同用于高性能计算(HPC)应用;➂ 这一胜利挑战了台积电在该领域的长期主导地位。12/16/2024, 01:07 AM UTC
苹果下一代A20 Pro芯片将驱动iPhone 18 Pro和iPhone 18 Pro Max,采用台积电2nm节点Apple's next-gen A20 Pro chip will power iPhone 18 Pro, iPhone 18 Pro Max on TSMC 2nm node
➀ 预计2026年,苹果的下一代A20 Pro芯片将驱动iPhone 18 Pro和iPhone 18 Pro Max;➁ 该芯片将在台积电的2nm工艺节点上制造,可能导致成本上升;➂ 作为台积电的最大客户,苹果将首先在iPhone 17上使用2nm技术。12/12/2024, 06:00 PM UTC
TSMC的Mii在70届IEDM上发表宏图主题演讲IEDM Opens with a Big Picture Keynote from TSMC’s Yuh-Jier Mii
➀ 慧荣科技的Mii在70届IEDM上发表主题演讲,讨论了半导体行业的发展以及人工智能的影响,预测到2030年将达到一万亿美元的营收。➁ 他强调了逻辑技术的演变并介绍了CFET架构。➂ 他讨论了先进硅堆叠和封装技术的重要性。12/09/2024, 04:00 PM UTC
英特尔的问题在哪里?What is Wrong with Intel?
➀ 英特尔CEO帕特·基辛格的离职凸显了英特尔持续存在的问题;➁ 半导体技术和供应链控制在全球AI时代至关重要;➂ 英特尔董事会过去二十年的一系列糟糕决策影响了公司在半导体行业的竞争能力。12/08/2024, 06:44 AM UTC
台积电2纳米芯片良率超预期,2025年量产TSMC's new 2nm chip yields are 'better than expected' in trial runs at its fab in Taiwan
➀ 台积电2纳米芯片在试验运行中的良率超出预期;➁ 预计2025年实现量产;➂ 2纳米节点引入纳米片架构,这是半导体行业的首次。12/04/2024, 05:11 AM UTC
NVIDIA下一代Rubin AI GPU或因HBM4提前6个月发布NVIDIA's next-gen Rubin AI GPU could be pushed up 6 months ahead of schedule with HBM4
➀ NVIDIA的Rubin AI GPU架构,原定于2026年发布,现在预计提前六个月;➁ 采用台积电的3nm工艺和下一代HBM4内存;➂ 继承了Blackwell架构,NVIDIA正与台湾合作伙伴合作开发基于R100的AI服务器。12/02/2024, 08:47 PM UTC
苹果放弃使用台积电2nm芯片,M5芯片将采用SoIC封装技术,预计2025年底推出Apple won't use TSMC 2nm chip for M5 chip over high costs, will use SoIC packaging in late 2025
➀ 苹果已从台积电订购下一代M5芯片,用于iPad Pro和Mac,预计2025年下半年生产;➁ M5芯片将采用台积电的3nm工艺和SoIC技术;➂ 新的M5芯片预计将增强消费设备和云服务中的AI能力。11/19/2024, 02:00 PM UTC
Alchip引领未来3D设计创新之路Alchip is Paving the Way to Future 3D Design Innovation
➀ Alchip在TSMC OIP生态系统论坛上展示;➁ 克服3D IC设计挑战;➂ 与Synopsys和TSMC合作进行3D设计创新11/15/2024, 04:10 AM UTC
三星为Meta和微软开发定制HBM4解决方案,以对抗台积电和SK海力士Samsung working on 'custom HBM4' solutions for Meta and Microsoft, to fight TSMC and SK hynix
➀ 三星正在为Meta和微软开发定制化的HBM4内存解决方案;➁ 预计到2025年底开始量产;➂ 新的HBM4内存将提供2TB/s的带宽和高达48GB的容量。11/11/2024, 04:10 AM UTC
全球EUV光刻机领导者ASML遭遇全球IT故障,影响全球设施EUV lithography machine leader ASML struck by IT outage that affected its facilities globally
➀ ASML遭遇全球IT故障,影响其运营;➁ 故障影响了洁净室与供应商的沟通;➂ ASML对TSMC和英特尔等公司至关重要。11/09/2024, 10:19 AM UTC
台积电下周或将停止向中国AI企业供应7nm及以下芯片 | 趋势力量新闻[News] TSMC Reportedly to Halt 7nm and Below Chip Shipments to China’s AI Firms Next Week | TrendForce News
➀ 台积电据报道将在下周停止向中国AI企业供应7nm及以下芯片;➁ 此举紧随之前通过代理向华为供应7nm芯片的争议之后;➂ 这种做法可能是对近期贸易紧张和地缘政治问题的回应。11/09/2024, 10:19 AM UTC
新消息:德克萨斯州州长计划访问台积电,提供激励以吸引投资 | TrendForce新闻[New] Texas Governor Reported to Visit TSMC, Offering Incentives to Attract Investment | TrendForce News
➀ 德克萨斯州州长计划访问台积电;➁ 提供激励措施以吸引投资;➂ 台积电成为各方积极合作的对象。11/08/2024, 05:09 AM UTC
美国总统拜登和当选总统特朗普可能都将出席TSMC亚利桑那工厂开业典礼US President Biden, President-elect Trump could BOTH visit TSMC's Arizona fab opening ceremony
➀ 美国总统拜登和当选总统特朗普据传都将出席TSMC在亚利桑那州的新半导体工厂开业典礼;➁ TSMC对于苹果、AMD、英特尔和英伟达等美国科技巨头至关重要;➂ TSMC在亚利桑那州的新工厂对美国半导体产业具有重要意义。11/07/2024, 10:08 AM UTC
芯片与媒体获得台积电3纳米库Chips&Media receives TSMC’s 3nm library
➀ 韩国视频IP公司芯片与媒体宣布获得台积电的3纳米库;➁ 这将使得芯片与媒体的客户在签署合同前能够评估兼容的IP;➂ 这标志着两家公司之间的战略合作伙伴关系。11/03/2024, 04:00 PM UTC
KLAC:业绩稳健,前景不明,中国因素成关键KLAC – OK Qtr/Guide – Slow Growth – 2025 Leading Edge Offset by China – Mask Mash
➀ KLAC报告稳健的季度业绩,增长温和;➁ 中国经济放缓带来不确定性;➂ TSMC持续占据投资主导地位;➃ KLA在光罩检查市场面临挑战。11/01/2024, 02:44 AM UTC
三星提升关键HBM 供应,暗示与台积电合作[News] Samsung Advances Key HBM Supply, Hints at TSMC Partnership
➀ 三星电子在第三季度财报中宣布,尽管利润大幅下降,但盈利情况超出预期。➁ 公司强调其在高带宽内存(HBM)供应方面的进步。➂ 三星暗示可能将与台积电进行合作,表明在半导体行业中进行战略布局的意图。10/30/2024, 11:30 PM UTC
英特尔CEO失误惹怒台积电,导致取消40%折扣[News] Intel CEO’s Missteps Reportedly offended TSMC, Leading to a Canceled 40% Discount
➀ 据报道,英特尔CEO的行为引起台积电不满;➁ 这一事件导致台积电取消了40%的折扣;➂ 折扣取消发生在英特尔即将发布的第三季度财务报告之前。10/30/2024, 04:33 AM UTC
OpenAI 与博通和台积电合作开发首颗AI芯片,缩减其晶圆厂雄心OpenAI to build its first AI chip with Broadcom and TSMC, scaling back its foundry ambitions
➀ OpenAI 正与博通和台积电合作开发其首颗内部AI芯片;➁ 由于成本和时间限制,公司正在缩减其晶圆厂雄心;➂ OpenAI 正采用行业合作伙伴关系和内部与外部方法的混合策略来管理芯片供应和成本。10/30/2024, 03:59 AM UTC
台积电收购传闻之下,群创否认南台湾工厂出售计划[News] Innolux Denies Plant Sale Plans in Southern Taiwan amid TSMC’s Purchase Rumors
➀ 在台积电可能收购的传闻中,群创否认了其在南台湾工厂的出售计划。➁ 人工智能的繁荣增加了先进封装生产能力的需求,推动了行业增长。➂ 该情况凸显了半导体制造工厂在台湾的战略重要性。10/30/2024, 03:40 AM UTC
台积电疑似因华为关系切断芯片供应商;比特大陆供应受威胁[News] TSMC Reportedly Cuts Off Chip Clients Over Huawei Links; Bitmain Supply at Risk
➀ 据报道,台积电已停止向至少两家芯片开发商发货;➁ 这一举措疑似是为了规避美国出口限制;➂ 比特大陆的供应据称因此受到威胁。10/29/2024, 03:59 PM UTC
群創整廠出售…不在計劃中群創整廠出售…不在計劃中
➀ 據報,AI對半導體的需求強烈,導致先進製造產能出現短缺。➁ 市場傳言台積電有意向收購群創的整廠,目標是南科七廠。➁ 群創發言人昨日強調,出售整廠並非其計劃。10/29/2024, 07:38 AM UTC
苹 果据悉正在开发M5芯片,推动台积电先进制程订单增加[News] Apple is Reportedly Developing M5 Chips, Boosting Orders for TSMC’s Advanced Processes
➀ 苹果据悉正在开发M5芯片;➁ 这一举措正在推动台积电先进制程的订单增加;➂ 行业正关注苹果即将推出配备自研M4芯片的新产品。10/29/2024, 06:08 AM UTC
苹果下一代M5芯片预计于2025年底发布,新款iPad Pro可能于2025年底或2026年初推出Apple's next-gen M5 chip to launch by end of 2025, new iPad Pro expected in late 2025, or 2026
➀ 苹果下一代M5芯片预计于2025年底发布;➁ 新款iPad Pro预计将于2025年底或2026年初发布;➂ M5芯片专为新款11英寸和13英寸iPad Pro以及M5 MacBook笔记本电脑设计。10/29/2024, 03:15 AM UTC
台积电与南亚科技公布台湾新先进制程及CoWoS封装扩展计划[New] TSMC and SPIL Unveil New Advanced Node and CoWoS Expansion Efforts in Taiwan
➀ 台积电与南亚科技正在台湾提升其半导体制造能力;➁ 高雄的新2nm晶圆厂将是这些努力的关键设施;➂ 扩展重点在于先进制程和CoWoS封装技术。10/29/2024, 12:30 AM UTC
华为芯片代理策略揭露美国新制裁漏洞[News] Huawei’s Proxy Tactics for Advanced Chips Expose New U.S. Sanctions Loophole
➀ 华为在先进芯片的代理策略中暴露出美国新制裁的漏洞;➁ 该问题涉及华为的Ascend 910B芯片,据称由台积电制造;➂ 这起争议凸显了国际贸易和技术法规的复杂性。10/28/2024, 02:30 AM UTC
台积电疑似因华为AI处理器中发现的芯片停止向中国芯片设计公司Sophgo供货[News] TSMC Reportedly Halts Shipments to Chinese Firm Sophgo After Chip Found in Huawei Processor
➀ 据报道,台积电已停止向Sophgo供货;➁ 据称,台积电生产的芯片被发现在华为的AI处理器中;➂ 停止供货可能是因为该芯片出现在华为处理器中10/24/2024, 12:00 PM UTC
谷歌或采用台积电N3E工艺替代2nm制造Tensor G6[News] Google Reportedly Adopts TSMC’s N3P Process instead of 2nm for Tensor G6
➀ 据传闻,谷歌将采用台积电的N3E工艺制造Tensor G5;➁ 报告还明确指出,谷歌没有选择为Tensor G6使用2nm技术;➂ 这一举措可能影响AI和智能手机芯片市场的竞争。10/24/2024, 02:36 AM UTC
谷歌下一代Tensor G6处理器代号“Malibu”:采用台积电2nm工艺,性能和功耗提升Google's next-gen Tensor G6 codename 'Malibu' chip: TSMC 2nm for major perf, power improvements
➀ 谷歌下一代Tensor G6处理器,代号为“Malibu”,将基于台积电2nm工艺制造;➁ 预计Tensor G6将驱动即将推出的Pixel 11智能手机系列;➂ 新处理器承诺将带来显著的性能和功耗提升。10/23/2024, 01:00 PM UTC
塑造明天的半导体技术——IEDM 2024前瞻Shaping Tomorrow’s Semiconductor Technology IEDM 2024
➀ IEDM 2024将于12月7日至11日在旧金山举行;➁ 关注AI、台积电的2nm逻辑平台和英特尔极端缩放晶体管;➂ 回顾IEDM 70年历史,并探讨先进封装、功率晶体管和脑机接口。10/22/2024, 04:19 AM UTC
台湾对使用新型核技术持开放态度,随着AI需求吞噬电力供应Taiwan is 'very open' to using new nuclear technology as AI demand devours electricity supply
➀ 台湾正在考虑改变其核能政策,以应对人工智能对电力的日益增长的需求;➁ 台湾现有的核能设施正在老化,2024年仅有一座核电站运行;➂ 台湾 Premier 崔俊台表示,只要在核安全和管理核废料方面达成共识,就愿意讨论使用新的核能技术。10/22/2024, 03:19 AM UTC
苹果新一代M4芯片:M4、M4 Pro、M4 Max将驱动新一代MacBook Pro笔记本电脑Apple's next-gen M4 chips in M4, M4 Pro, M4 Max will power new wave of MacBook Pro laptops
➀ 苹果即将推出新一代M4系列处理器;➁ 新款MacBook Pro将搭载M4、M4 Pro和M4 Max SoC;➂ 新处理器采用台积电的3nm工艺节点。10/21/2024, 01:00 PM UTC
Analog Bits在TSMC OIP上为未来铺路Analog Bits Builds a Road to the Future at TSMC OIP
➀ 在TSMC OIP上,Analog Bits展示了其片上传感IP和电源管理解决方案;➁ 公司强调了其在3nm和2nm技术节点上的进展;➂ 与Arm的合作被讨论,重点关注电源管理和时钟IP。10/20/2024, 10:55 AM UTC
NVIDIA Blackwell AI GPU问题损害与台积电关系,RTX 50 GPU可能由三星制造NVIDIA Blackwell AI GPU issues hurt relations with TSMC, RTX 50 GPUs nearly made by Samsung
➀ NVIDIA的Blackwell AI GPU问题导致与台积电关系紧张;➁ NVIDIA考虑将GeForce RTX 50系列GPU的生产转给三星;➂ 台积电和NVIDIA互相指责缺陷问题。10/14/2024, 02:01 AM UTC
NVIDIA Blackwell AI GPU 下12个月将面临缺货NVIDIA Blackwell GPUs for AI are effectively 'sold out' for the next 12 months
➀ 由于需求旺盛,NVIDIA的Blackwell AI GPU未来12个月将面临缺货;➁ 包括谷歌、Meta和微软在内的主要科技公司对Blackwell GPU需求极高;➂ 尽管生产延迟,但Blackwell预计将为AI训练和推理带来显著的性能提升。10/08/2024, 05:00 PM UTC
通过3DBlox最大化3D集成电路设计生产力:TSMC在2024年的进展和创新Maximizing 3DIC Design Productivity with 3DBlox: A Look at TSMC’s Progress and Innovations in 2024
➀ TSMC的3DBlox框架解决了3DIC设计的复杂性;➁ 2024年的创新重点在于简化3D设计挑战;➂ TSMC在管理3DIC系统中的电气和物理约束方面的策略。10/08/2024, 06:44 AM UTC
AMD将成为TSMC亚利桑那州新工厂的下一个大客户:2025年美国制造的高性能计算AI芯片AMD should be TSMC's next huge customer for Arizona: HPC AI chips made in the USA in 2025
➀ 据报道,AMD将在TSMC亚利桑那州的新工厂生产下一代高性能计算AI芯片;➁ 这使得AMD成为继苹果之后该新工厂的第二个主要客户;➂ 预计将在2025年使用TSMC的5nm工艺节点开始生产。10/03/2024, 02:11 AM UTC
苹果A18 Pro与A18 SoC芯片尺寸对比:比A17 Pro略大,基于台积电N3E节点制造Apple A18 Pro, A18 SoC die sizes compared: a bit bigger than A17 Pro, built on TSMC N3E node
➀ 苹果A18 Pro与A18 SoC芯片尺寸进行对比;➁ A18 Pro芯片尺寸为105mm²,而A18为90mm²;➂ 苹果使用台积电的N3E节点进行制造,其密度低于N3B。09/22/2024, 11:47 AM UTC
苹果2026年iPhone 18将使用台积电2nm芯片,iPhone 17芯片采用3nm工艺Apple's future-gen iPhone 18 in 2026 to use 2nm chip made by TSMC, iPhone 17 chip made on 3nm
➀ 苹果2026年的iPhone 18将使用台积电的2nm芯片;➁ 部分iPhone 18型号可能采用3nm芯片;➂ 2025年的iPhone 17型号将使用3nm芯片。09/20/2024, 02:33 AM UTC
字节跳动母公司将在2026年使用台积电5nm工艺生产两款定制AI芯片TikTok parent company ByteDance to have 2 custom AI chips made on TSMC 5nm process in 2026
➀ 字节跳动正在开发两款AI GPU,将在台积电的5nm工艺节点生产;➁ 预计2026年进入量产;➂ 此举旨在减少对英伟达的依赖,并遵守美国出口管制规定。08/27/2024, 01:00 PM UTC
Analog Bits 势头强劲,展望未来Analog Bits Momentum and a Look to the Future
➀ Analog Bits 正在积极推进到先进节点,包括在3nm和2nm的新IP开发。➁ 公司持续增强其产品目录,包括故障检测、电源管理和高温精度PVT传感器等技术。➂ 未来可能看到Analog Bits集成AI进行自适应控制,提升系统性能和能效。08/20/2024, 01:00 PM UTC
Weebit Nano:ReRAM革命的核心Weebit Nano is at the Epicenter of the ReRAM Revolution
➀ Weebit Nano 正引领 ReRAM 革命,解决闪存的局限性。 ➁ TSMC 和其他代工厂正在探索和采用 ReRAM 技术。 ➂ ReRAM 在 AI 和汽车等多种应用中势头强劲。07/30/2024, 05:00 PM UTC
台积电业务更新与新战略发布TSMC’s business update and launch of a new Strategy
1、台积电在2024年第二季度报告了创纪录的收入,表明半导体行业正在从下行周期中复苏。2、公司推出了Foundry 2.0战略,重点是先进封装和3D集成。3、台积电正从组件公司转变为子系统公司,旨在控制更多的供应链。07/24/2024, 01:40 AM UTC
台积电拒绝英伟达为其GPU设立专用封装生产线的请求TSMC declined NVIDIA's request for a dedicated packaging manufacturing line for its GPUs
1、台积电拒绝了英伟达为其GPU设立专用封装生产线的请求。2、这一请求是在英伟达CEO黄仁勋与台积电高管的会议上提出的。3、由于AI市场的蓬勃发展,台积电正努力满足对先进封装能力的高需求。07/19/2024, 01:00 PM UTC
台积电、英特尔和三星的代工2.0战略TSMC Foundry 2.0 Intel IDM 2.0 and Samsung IDunno 2.0
1、台积电的“可信代工”战略限制了三星的市场份额,使其只能服务于非台积电客户。2、英特尔的IDM 2.0显示出令人印象深刻的进展,有可能从三星手中夺取市场份额。3、台积电的代工2.0战略侧重于扩展产品和服务,N2和N2P技术预计将在性能和能效方面领先。07/18/2024, 05:00 PM UTC
台积电2024年第二季度业绩:HPC营收占比超52%,AI需求推动创历史最佳季度TSMCs Q2'24 Results: Best Quarter Ever as HPC Revenue Share Exceeds 52% on AI Demand
1、台积电2024年第二季度营收达到208.2亿美元,创下公司历史最佳季度。2、高性能计算(HPC)营收占比首次超过52%,主要由AI处理器需求和PC市场复苏推动。3、先进技术(N3、N5、N7)占总晶圆营收的67%,其中N3工艺技术占比15%。06/24/2024, 04:34 AM UTC
台积电与全球联合科技赢得SK海力士下一代HBM4内存基底芯片大量订单TSMC and Global Unichip win bulk orders for base dies used on SK hynix's next-gen HBM4 memory
1、台积电与全球联合科技获得SK海力士下一代HBM4内存基底芯片的大量订单。2、台积电与创意电子合作,专注于开发AI服务器所需的HBM关键周边组件。3、业界预期HBM4将有重大变化,包括堆栈高度增加和集成逻辑IC以提升带宽传输速度。06/24/2024, 02:47 AM UTC
英伟达向台积电追加新订单,订购更多Blackwell GB200、B100、B200 AI芯片NVIDIA places fresh new orders with TSMC for more Blackwell GB200, B100, B200 AI chips
1、据报道,由于对AI芯片的高需求,英伟达已向台积电追加订单,订购其Blackwell平台的GB200、B100和B200芯片。2、生产量的增加导致后端封装和测试工厂的订单激增,ASE投资控股和KYEC的相关订单量翻倍。3、英伟达新款Blackwell芯片的复杂性和测试时间增加,对参与测试过程的第三方公司的平均售价和毛利率产生了积极影响。06/21/2024, 02:27 AM UTC
台积电探索“全新”的半导体封装技术:板级封装TSMC exploring 'radically new' semiconductor packaging technique called panel-level packaging
1. 台积电正在探索一种名为板级封装的“全新”半导体封装技术。2. 板级封装使用矩形基板代替传统的圆形晶圆,可以容纳更多的芯片。3. 这项研究仍处于早期阶段,将需要对生产工具和材料进行重大开发,以及可能对设施进行长期计划的全面改造。06/21/2024, 01:24 AM UTC
分析师上调台积电目标股价,得益于美国科技巨头在N3节点上制造芯片Analyst increases TSMC price target, riding off major US tech giants making chips on N3 node
1. 伯恩斯坦分析师上调了台积电的股价目标;2. 台积电预计将超出其2024年的指导,受到美国科技巨头需求和N3工艺节点成功的推动;3. 数据中心AI收入的持续增长以及新智能手机的AI功能推出有助于台积电的成功。06/18/2024, 02:50 AM UTC
台积电在台中发现考古遗迹后暂停CoWoS先进封装工厂建设TSMC's work on CoWoS advanced packaging halted in Taiwan after archaeological ruins found
1、台积电在台中发现考古遗迹后,暂停了其新的CoWoS先进封装工厂的建设。2、台积电已提出计划,在国家科技委员会南部科学园区管理局建设第 二个CoWoS先进封装设施。3、已召开文化审查会议,决定无论是否为敏感区域,都将每日监控建设过程。06/10/2024, 01:00 PM UTC
台积电先进封装技术克服多芯片设计的复杂性TSMC Advanced Packaging Overcomes the Complexities of Multi-Die Design
1、台积电技术研讨会展示了公司的先进技术和生态系统,重点是先进封装解决方案。2、先进封装已从简单的收尾步骤演变为设计过程中的关键部分,由多芯片异构集成的需求驱动。3、台积电的3DFabric™技术组合包括CoWoS®、InFO和TSMC-SoIC®,支持多芯片封装和3D IC堆叠,提高系统性能和功能。05/31/2024, 03:00 PM UTC
TSMC的3D堆叠SoIC包装技术取得快速进展,计划2027年达到3μm间距TSMC's 3D Stacked SoIC Packaging Making Quick Progress, Eyeing Ultra-Dense 3μm Pitch In 2027
05/22/2024, 09:30 PM UTC
台积电路线图概览:N3X、N2P、A16 将于2025/2026推出TSMC's Roadmap at a Glance: N3X, N2P, A16 Coming in 2025/2026
05/21/2024, 03:00 PM UTC
TSMC to Expand CoWoS Capacity by 60% Yearly Through 2026
05/20/2024, 07:58 PM UTC
Asicland获得AI公司D.notitia的订单Asicland wins AI firm D.notitia as customer
05/17/2024, 06:00 PM UTC
TSMC 展现 EUV 成功之路:更多工具、更多晶圆和行业最佳 pelliclesTSMC Outlines Path to EUV Success: More Tools, More Wafers, & Best Pellicles In Industry
05/16/2024, 09:00 PM UTC
台積電擴展特色產能50%,推出4nm N4e低功率節點TSMC to Expand Specialty Capacity by 50%, Introduce 4nm N4e Low-Power Node
05/16/2024, 12:00 PM UTC
TSMC Readies Next-Gen HBM4 Base Dies, Built on 12nm and 5nm Nodes
05/15/2024, 10:00 PM UTC
台湾半导体制造公司(TSMC)推出新一代3nm性能优化N3P工艺TSMC: Performance-Optimized 3nm N3P Process on Track for Mass Production This Year
05/14/2024, 12:39 PM UTC
台積電3奈米再進擊!歷年最強!蘋果M4未演先轟動!六大技術亮點一次看!
05/06/2024, 01:00 PM UTC
模拟比特继续主导混合信号IP,在台积电技术研讨会上。Analog Bits Continues to Dominate Mixed Signal IP at the TSMC Technology Symposium
04/30/2024, 01:45 PM UTC
首度揭露!台積電2奈米後的製程藍圖,三星、英特爾看不到車尾燈!
04/22/2024, 06:09 PM UTC
SK海力士将使用台积电的基础die制作HBM4SK Hynix to use TSMC's base die for HBM4
SK Hynix is planning to use TSMC’s base die technology for its next-generation high bandwidth memory (HBM).The South Korean memory maker used its own process to make the base die for its latest HBM3E.For the follow-up HBM4, which will start mass production in 2026, SK Hynix will use TSMC’s advanced04/19/2024, 04:00 PM UTC
SK Hynix and TSMC Team Up for HBM4 Development
04/19/2024, 01:00 PM UTC
TSMC发布2024年第一季度业绩:3nm收入份额大幅下降,但高性能计算份额上升。TSMC Posts Q1'24 Results: 3nm Revenue Share Drops Steeply, but HPC Share Rises
03/27/2024, 02:32 AM UTC
TSMC expected to build 2nm line within year, SEMI says
02/20/2024, 11:04 PM UTC
TSMC unveils new packaging platform for HPC, AI chips