Recent #TSMC news in the semiconductor industry
12/28/2024, 12:38 PM UTC
高通骁龙8精英2将采用台积电N3P工艺,三星疑似失去订单[News] Qualcomm’s Snapdragon 8 Elite 2 to Use TSMC’s N3P as Samsung Reportedly Lost Order
➀ 根据《自由时报》援引《金融时报》的报道,近年来,主要科技公司大幅增加资本支出,以获取人工智能芯片和建设数据中心。➁ 然而,最近全球数据中心投资放缓,引发了对人工智能热潮可能正在降温的担忧。➂ 高通的骁龙8精英2将采用台积电的N3P工艺,而据报道,三星失去了订单。➀ According to Liberty Times, citing Financial Times, major tech companies have significantly increased capital expenditures in recent years to acquire AI chips and build data centers. ➁ However, global investment in data centers has recently slowed, raising concerns about whether the AI boom might be losing steam. ➃ Qualcomm's Snapdragon 8 Elite 2 is set to use TSMC's N3P process, while Samsung reportedly lost orders.
12/28/2024, 04:11 AM UTC
对英特尔晶圆厂的一些建议Suggestions for Intel's Wafer Fab
<p>➀ 英特尔需要填满其所有晶圆厂以保持其在半导体制造领域的竞争力;</p><p>➁ 台积电通过与日本和欧洲等地的合作迅速发展,增强其竞争优势;</p><p>➂ 由于监管障碍,英特尔未能成功收购 Tower Semiconductor,错失了机会;</p><p>➃ 台积电与日本和欧洲公司合作建立晶圆厂是战略举措;</p><p>➄ 英特尔需要转型,并考虑成立通用代工平台联盟,以填满其晶圆厂和封装设施。</p><p>➀ Intel needs to fill all its fabs to maintain competitiveness in the semiconductor manufacturing field;</p><p>➁ TSMC is rapidly expanding its competitive advantage through partnerships with Japan and Europe;</p><p>➂ Creating a universal foundry platform alliance would allow other fabs to utilize their manufacturing capabilities, benefiting Intel;</p><p>➃ Intel's failed acquisition of Tower Semiconductor due to regulatory hurdles is a missed opportunity;</p><p>➄ TSMC's collaboration with Japanese and European companies to build fabs is a strategic move;</p><p>➅ Intel needs to transform and consider establishing a universal foundry platform alliance to fill its fabs and packaging facilities.</p>
12/27/2024, 02:00 PM UTC
英特尔共同平台代工厂联盟The Intel Common Platform Foundry Alliance
➀ 英特尔需要填满其晶圆厂以保持竞争力;➁ 台积电通过与日本和欧洲的新合作伙伴关系快速发展;➂ 英特尔可能从建立一个共同的代工厂平台联盟中受益。➀ Intel needs to fill its fabs to remain competitive; ➁ TSMC's rapid expansion with new partnerships; ➂ The potential of a Common Foundry Platform Alliance for Intel.
12/26/2024, 02:08 PM UTC
三星电子面临挑战,韩国考虑成立KSMC半导体公司TSMC dominance leads South Korea to consider its own semiconductor biz with KSMC
➀ 台积电在半导体行业的统治地位促使韩国考虑成立KSMC;➁ KSMC的目标是支持本地半导体行业发展;➂ 面临的挑战包括技术差距和人才短缺。➀ TSMC's dominance in the semiconductor industry prompts South Korea to consider establishing KSMC; ➁ KSMC aims to support local semiconductor industry development; ➂ Challenges include technological gaps and talent shortages.
12/25/2024, 03:01 PM UTC
苹果下一代M5系列处理器曝光:TSMC N3P节点,服务器级SoIC先进封装Apples next-gen M5 series processor leaks: TSMC N3P, server-grade SoIC advanced packaging
➀ 苹果下一代M5系列处理器正在使用TSMC的N3P节点进行原型设计;➁ 预计M5、M5 Pro、M5 Max和M5 Ultra将在2025年和2026年实现量产;➂ 采用服务器级SoIC封装和独立的CPU和GPU设计,以提高产量和热性能。➀ Apple's next-gen M5 series processors are in the prototype phase using TSMC's N3P node; ➁ Mass production expected in 2025 and 2026 for M5, M5 Pro, M5 Max, and M5 Ultra; ➂ Server-grade SoIC packaging and separate CPU and GPU designs for improved yields and thermal performance.
12/23/2024, 01:39 PM UTC
苹果M5系列芯片:先进特性与时间表Apple M5 Series Chip: Advanced Features and Timelines
<p>➀ M5系列芯片将采用台积电先进的N3P节点,预计2025年和2026年实现量产。</p><p>➁ M5 Pro、Max和Ultra将采用服务器级SoIC封装,并使用2.5D封装以提高良率和散热性能。</p><p>➂ 高端M5芯片量产之后,苹果的PCC基础设施建设将加速,以更好地支持AI推理。</p><p>➀ The M5 series chips will adopt TSMC’s advanced N3P node, with mass production expected in 2025 and 2026.</p><p>➁ M5 Pro, Max, and Ultra will use server-grade SoIC packaging and 2.5D封装 for improved yields and thermal performance.</p><p>➂ Apple’s PCC infrastructure will accelerate post-high-end M5 chip mass production for better AI inferencing.</p>
12/22/2024, 01:07 AM UTC
华硕董事长:我们正在开发一个类人机器人,将与埃隆·马斯克的特斯拉Optimus机器人竞争ASUS chairman: we are working on a humanoid robot, will fight Elon Musk's Tesla Optimus robot
➀ 华硕正在开发其首个类人机器人,以与特斯拉的Optimus竞争;➁ 尽管已有Zenbo机器人,华硕正进入类人机器人市场;➂ 行业面临AI和通用人工智能(AGI)发展的挑战,重点关注类人机器人。➀ ASUS is developing its first humanoid robot to compete with Tesla's Optimus; ➁ Despite existing Zenbo robots, ASUS is stepping into the humanoid robot market; ➂ The industry faces challenges with AI and AGI development, focusing on humanoid robots.
12/21/2024, 05:26 AM UTC
分析师:台湾成熟制程应迅速整合Analyst: Taiwan's Mature Process Should Integrate Quickly
<p>➀ 台湾半导体产业预计增长16%,台积电增长25%,动力来自英特尔订单、先进制程产能及AI订单。</p><p>➁ 分析师建议,美国对中国的成熟制程限制可能效果不如预期,台湾成熟制程业者应考虑整合以实现规模经济。</p><p>➂ 台积电2025年营收年增率预计25%,但最大变数是美国政策。</p><p>➀ Taiwan's semiconductor industry is expected to grow by 16%, with TSMC's growth at 25%, driven by Intel orders, advanced process capacity, and AI orders.</p><p>➁ Analysts suggest that the U.S. restrictions on China's mature process may not be as effective as expected, and Taiwan's mature process companies should consider integration for economies of scale.</p><p>➂ TSMC's revenue growth rate is estimated to reach 25% in 2025, but the biggest variable is U.S. policy.</p>
12/19/2024, 06:00 PM UTC
TSMC在IEDM上展示全球最先进的逻辑技术:2nm平台TSMC Unveils the World’s Most Advanced Logic Technology at IEDM
➀ TSMC在IEDM上发布了其2nm平台技术,具备用于AI、HPC和移动应用的GAA纳米片晶体管。➁ N2技术相比3nm节点在速度和功耗效率方面实现了显著提升。➂ TSMC的N2预计将于2025年下半年开始量产,而增强版N2P则预计于2026年投产。➀ TSMC unveiled its 2nm Platform Technology at IEDM, featuring GAA nanosheet transistors for AI, HPC, and mobile applications. ➁ The N2 technology achieves significant improvements in speed and power efficiency compared to the 3nm node. ➂ TSMC's N2 is scheduled for mass production in 2025, with an enhanced version, N2P, targeted for 2026.
12/19/2024, 06:11 AM UTC
IDC 2025年半导体趋势预测IDC’s Semiconductor Trends For 2025
➀ 预计内存增长将超过24%,主要由HBM3和HBM3e等高端产品推动,HBM4预计将在2025年下半年推出。非内存预计增长13%,受AI服务器、高端手机IC和WiFi7对先进节点IC的需求驱动。➁ 亚洲-太平洋IC设计市场预计将增长15%,随着库存水平稳定、个人设备需求增加以及AI计算扩展到广泛应用。➂ 台积电在Foundry 1.0和2.0中的市场份额预计将增加,随着2nm和3nm等先进节点的扩张。➃ 预计2nm和3nm的生产将加速,台积电和三星将领先。➄ 预计晶圆代工利用率将增加,2025年是2nm量产的关键年份。➅ 中国的封装和测试市场份额预计将上升,2025年之后FOPLP将快速增长。➀ Memory growth is expected to exceed 24% due to the increasing adoption of high-end products like HBM3 and HBM3e, with HBM4 introduction in H2 2025. Non-memory is projected to grow 13% driven by advanced node ICs for AI servers, high-end mobile phone ICs, and WiFi7. ➁ The Asia-Pacific IC design market is set to grow 15% as inventory levels stabilize, personal device demand rises, and AI computing expands. ➂ TSMC's market share is projected to increase in Foundry 1.0 and 2.0, with the expansion of advanced nodes like 2nm and 3nm. ➃ 2nm and 3nm production is expected to accelerate, with TSMC and Samsung leading the way. ➄ Foundry capacity utilization is expected to increase, and 2025 is critical for 2nm mass production. ➅ China's packaging and testing market share is set to rise, and FOPLP will grow rapidly post-2025.
12/18/2024, 02:00 PM UTC
机器学习与多物理场在3D设计和HBM中的应用ML and Multiphysics Corral 3D and HBM
➀ 3D设计与HBM在先进半导体系统中至关重要;➁ 大型系统设计需要多芯片封装;➂ 多物理场和机器学习对于优化性能和可靠性至关重要。➀ 3D design with HBM is critical for advanced semiconductor systems; ➁ Large system designs require multi-chiplet integration; ➂ Multiphysics and ML are essential for optimizing performance and reliability.
12/17/2024, 10:57 AM UTC
联电获得高通重要先进封装订单,挑战台积电行业主导地位UMC Secures Major Advanced Packaging Order from Qualcomm, Challenging TSMC’s Dominance
➀ 联电在先进封装市场取得重大突破;➁ 该合同用于高性能计算(HPC)应用;➂ 这一胜利挑战了台积电在该领域的长期主导地位。➀ UMC announced a significant breakthrough in the advanced packaging market; ➁ The contract is for high-performance computing (HPC) applications; ➂ The win challenges TSMC's long-standing dominance in the sector.
12/16/2024, 01:07 AM UTC
苹果下一代A20 Pro芯片将驱动iPhone 18 Pro和iPhone 18 Pro Max,采用台积电2nm节点Apple's next-gen A20 Pro chip will power iPhone 18 Pro, iPhone 18 Pro Max on TSMC 2nm node
➀ 预计2026年,苹果的下一代A20 Pro芯片将驱动iPhone 18 Pro和iPhone 18 Pro Max;➁ 该芯片将在台积电的2nm工艺节点上制造,可能导致成本上升;➂ 作为台积电的最大客户,苹果将首先在iPhone 17上使用2nm技术。➀ Apple's next-gen A20 Pro chip is expected to power the iPhone 18 Pro and iPhone 18 Pro Max in 2026; ➁ The chip will be manufactured on TSMC's 2nm process node, potentially leading to increased costs; ➂ Apple, TSMC's largest customer, will first use 2nm technology in the iPhone 17.
12/15/2024, 03:06 AM UTC
2nm,胜负已分?2nm, The Winner Has Already Been Decided?
<p>➀ 2nm晶圆代工的竞争已经清晰,台积电凭借其先进技术和强大的客户需求取得了胜利。</p><p>➁ 台积电在2nm技术方面取得了显著里程碑,包括成功的试生产和高良率。</p><p>➂ 苹果和AMD预计将成为台积电2nm技术的首批客户,苹果的A20 Pro和M5芯片将在此工 艺上生产。</p><p>➃ 英特尔和英伟达也将转向台积电以利用其2nm技术,英特尔的新款台式机芯片和英伟达的Rubin Next平台预计将在TSMC的N2工艺上生产。</p><p>➄ 三星在2nm技术方面面临挑战,包括良率问题和可能失去自己的客户给台积电。</p><p>➅ 英特尔的2nm技术,称为18A,面临延误和良率挑战,对其与台积电竞争的能力表示担忧。</p><p>➆ 日本半导体公司Rapidus正在开发2nm技术,但面临当前市场的重大挑战。</p><p>➇ 台积电在2nm技术方面的崛起引发了对其定价能力和对半导体行业潜在影响的担忧。</p><p>➀ The competition in 2nm wafer fabrication has become a clear victory for TSMC, with its advanced technology and strong customer demand.</p><p>➁ TSMC has achieved significant milestones in 2nm technology, including successful trial production and high yields.</p><p>➂ Apple and AMD are expected to be among the first customers for TSMC's 2nm technology, with Apple's A20 Pro and M5 chips set to be produced on this process.</p><p>➃ Intel and NVIDIA are also turning to TSMC for its 2nm technology, with Intel's Nova Lake desktop chips and NVIDIA's Rubin Next platform expected to be produced on TSMC's N2 process.</p><p>➄ Samsung faces challenges in 2nm technology, including yield issues and the potential loss of its own customers to TSMC.</p><p>➅ Intel's 2nm technology, known as 18A, faces delays and yield challenges, with concerns about its ability to compete with TSMC.</p><p>➆ Rapidus, a Japanese semiconductor company, is working on 2nm technology but faces significant challenges in the current market.</p><p>➇ The rise of TSMC in 2nm technology raises concerns about pricing power and the potential impact on the semiconductor industry.</p>
12/15/2024, 12:05 AM UTC
半导体行业2024年第三季度财报汇总:英伟达、台积电、三星、博通等45家企业Semiconductor Industry Q3 2024 Earnings Summary: Nvidia, TSMC, Samsung, Broadcom, and More
<p>➀ 英伟达第三季度营收为350.62亿美元,同比增长17%,环比增长94%,净利润为193.09亿美元,同比增长16%,环比增长109%。</p><p>➁ 台积电第三季度营收为新台币7596.9亿元,同比增长39%,净利润为新台币3252.6亿元,同比增长54.2%。</p><p>➂ 三星电子第三季度营业利润为9.1834万亿韩元,同比增长277.37%,销售额和净利润分别同比增长17.35%和72.84%。</p><p>➀ Nvidia reported a Q3 revenue of $35.062 billion, up 17% YoY and 94% QoQ, with a net profit of $19.309 billion, up 16% YoY and 109% QoQ.</p><p>➁ TSMC's Q3 revenue was NT$759.69 billion, up 39% YoY, with a net profit of NT$325.26 billion, up 54.2% YoY.</p><p>➂ Samsung Electronics posted a Q3 operating profit of 9.1834 trillion KRW, up 277.37% YoY, with sales and net profit up by 17.35% and 72.84% YoY, respectively.</p>
12/14/2024, 12:46 PM UTC
台积电在IEDM 2024上详细披露其尖端2nm工艺节点——功耗降低35%,性能提升15%TSMC shares deep-dive details about its cutting edge 2nm process node at IEDM 2024 — 35 percent less power, or 15% more performance
➀ 台积电在IEDM 2024上公布了其N2(2nm级)制造工艺的详细信息;➁ 新工艺承诺在相同电压下实现24至35%的功耗降低或15%的性能提升;➂ 关键进展包括GAA纳米片晶体管和N2 NanoFlex设计技术的协同优化。➀ TSMC unveiled details about its N2 (2nm-class) fabrication process at IEDM 2024; ➁ The new process promises a 24 to 35% power reduction or a 15% performance improvement at the same voltage; ➂ Key advancements include GAA nanosheet transistors and N2 NanoFlex design-technology co-optimization.
12/13/2024, 11:44 AM UTC
最新新闻Most Read – Diamond battery, Android 16, Elektra Awards
➀ 英国原子能管理局和布里斯托尔大学制造了世界上第一个碳-14钻石电池;➁ 谷歌发布了首个Android 16开发者预览版;➂ 邓元秋在台北新书发布会上讨论了英特尔的新战略和CEO搜索;➃ 2024年EW BrightSparks在Elektra奖上受到关注;➄ 2024年Elektra奖获奖者揭晓。➀ UKAEA and Bristol University have created the world's first carbon-14 diamond battery; ➁ Google has released the first Android 16 Developer Preview; ➃ Morris Chang discussed Intel's new strategy and CEO search; ➄ EW BrightSparks 2024 were highlighted at the Elektra Awards; ➅ The Elektra Awards 2024 winners were announced.
12/13/2024, 01:00 AM UTC
半导体行业的产量之谜:揭秘英特尔和台积电的产量挑战Yield
➀ 半导体行业的产量曾经是高度保密的秘密,但现在随着英特尔和台积电低产量指控的出现,这一秘密已被公开讨论。➁ 根据最近的消息,英特尔18A的产量为10%,而台积电的2nm工艺的产量为60%。➂ 英特尔首席执行官帕特·格尔辛格认为,产量并不是衡量工艺成熟度的标准,他建议使用缺陷密度作为更好的指标。➀ The semiconductor industry's closely guarded secret, yield, is now being discussed openly, following allegations of low yields from Intel and TSMC. ➁ Intel's 18A has a 10% yield, while TSMC's 2nm process has a 60% yield, according to recent reports. ➂ Pat Gelsinger, CEO of Intel, argues that yield is not a measure of process maturity and suggests defect density as a better indicator.
12/12/2024, 06:00 PM UTC
TSMC的Mii在70届IEDM上发表宏图主题演讲IEDM Opens with a Big Picture Keynote from TSMC’s Yuh-Jier Mii
➀ 慧荣科技的Mii在70届IEDM上发表主题演讲,讨论了半导体行业的发展以及人工智能的影响,预测到2030年将达到一万亿美元的营收。➁ 他强调了逻辑技术的演变并介绍了CFET架构。➂ 他讨论了先进硅堆叠和封装技术的重要性。➀ TSMC's Mii presented a keynote at the 70th IEDM, discussing the semiconductor industry's growth and the impact of AI, predicting a revenue of one trillion dollars by 2030. ➁ He highlighted the evolution of logic technologies and introduced CFET architectures. ➂ He discussed the importance of advanced silicon stacking and packaging technologies.
12/12/2024, 12:16 PM UTC
华为坚持使用7nm工艺打造最新处理器,中国芯片进步停滞不前Huawei sticks to 7nm for latest processor as China's chip advancements stall
➀ 尽管中国半导体进步停滞,华为仍坚持使用7nm工艺生产最新处理器;➁ 华为Mate 70 Pro搭载的HiSilicon Kirin 9020处理器采用SMIC的7nm级技术;➂ 华为依赖SMIC的技术可能导致其5nm生产技术的采用推迟到2026年。➀ Huawei sticks to 7nm for its latest processor despite China's stagnation in semiconductor advancements; ➁ The Huawei Mate 70 Pro uses the HiSilicon Kirin 9020 processor with SMIC's 7nm-class technology; ➂ Huawei's reliance on SMIC's technology may delay the adoption of 5nm production until 2026.
12/12/2024, 06:27 AM UTC
Ayar Labs融资1.55亿美元,AI基础设施光学I/O技术引领者Ayar Labs raises $155m
➀ 光学I/O专家Ayar Labs筹集了1.55亿美元,公司总资金达到3.7亿美元,估值超过10亿美元。➁ 本轮融资由Advent Global Opportunities和Light Street Capital领导,AMD Ventures、Intel Capital、Nvidia、3M Ventures和Autopilot等参与。➂ Ayar Labs开发了封装式光学I/O技术,以提升AI基础设施的效率和性能。➀ Ayar Labs, an optical I/O specialist, has raised $155 million, bringing its total funding to $370 million and valuing the company over $1 billion.➁ The round was led by Advent Global Opportunities and Light Street Capital, with participation from AMD Ventures, Intel Capital, Nvidia, 3M Ventures, and Autopilot, among others.➂ Ayar Labs has developed in-package optical I/O technology to enhance AI infrastructure efficiency and performance.
12/11/2024, 06:26 AM UTC
罗姆与台积电合作,推进氮化镓功率器件发展ROHM and TSMC hook up for GaN
➀ 罗姆与台积电达成战略合作伙伴关系,共同开发和量产用于电动汽车应用的氮化镓功率器件;➁ 该合作旨在将罗姆的器件开发技术与台积电的硅基氮化镓工艺技术相结合;➂ 氮化镓功率器件目前用于消费和工业应用,台积电支持氮化镓技术在汽车应用中的潜在环保效益。➀ ROHM and TSMC have formed a strategic partnership to develop and produce GaN power devices for electric vehicle applications; ➁ The collaboration aims to integrate ROHM's device development technology with TSMC's GaN-on-silicon process technology; ➂ GaN power devices are currently used in consumer and industrial applications, and TSMC supports GaN technology for its potential environmental benefits in automotive applications.
12/10/2024, 04:05 PM UTC
罗姆与台积电携手,为汽车行业推出战略性的氮化镓技术合作ROHM and TSMC Launch Strategic Gallium Nitride Technology Collaboration for Automotive Industry
➀ 罗姆与台积电达成战略合作伙伴关系;➁ 合作重点在于电动汽车用氮化镓功率器件的开发和生产;➂ 合作结合了罗姆的器件开发技术和台积电的硅基氮化镓工艺技术。➀ ROHM and TSMC have established a strategic partnership; ➁ The collaboration focuses on GaN power device development and production for electric vehicles; ➂ The partnership leverages ROHM's device development technology and TSMC's GaN-on-silicon process technology.
12/10/2024, 09:08 AM UTC
台积电11月收入同比增长34%TSMC November revenues up 34% y-o-y
➀ 台积电11月收入同比增长34%,达到85.2亿美元;➁ 2024年1月至11月期间收入同比增长31.8%,达到850亿美元。➀ TSMC reported a 34% year-over-year increase in November revenues to reach US$8.52 billion; ➁ The revenue for the period from January to November 2024 increased by 31.8% year-over-year to US$85 billion.
12/09/2024, 04:00 PM UTC
英特尔的问题在哪里?What is Wrong with Intel?
➀ 英特尔CEO帕特·基辛格的离职凸显了英特尔持续存在的问题;➁ 半导体技术和供应链控制在全球AI时代至关重要;➂ 英特尔董事会过去二十年的一系列糟糕决策影响了公司在半导体行业的竞争能力。➀ The departure of CEO Pat Gelsinger highlights ongoing issues at Intel; ➁ Semiconductor technology and supply chain control are crucial in the AI era; ➂ Intel's board of directors has made a series of poor decisions over the past two decades.
12/09/2024, 11:21 AM UTC
莫里斯·张谈英特尔、Gelsinger和三星Morris Chang on Intel, Gelsinger and Samsung
➀ 莫里斯·张对英特尔寻找新战略和CEO表示评论,并表达了他对Gelsinger对台积电态度的看法。 ➁ 张讨论了三星的技术挑战和韩国的政治动荡。 ➂ 他回答了有关英特尔咨询请求的问题,以及Gelsinger的言论导致台积电取消对英特尔的折扣。➀ Morris Chang comments on Intel's search for a new strategy and CEO, expressing his views on Pat Gelsinger's attitude towards TSMC. ➁ Chang discusses Samsung's technological challenges and South Korea's political turmoil. ➂ He addresses questions about Intel's consultancy requests and TSMC's cancellation of a discount for Intel due to Gelsinger's remarks.
12/08/2024, 06:44 AM UTC
台积电2纳米芯片良率超预期,2025年量产TSMC's new 2nm chip yields are 'better than expected' in trial runs at its fab in Taiwan
➀ 台积电2纳米芯片在试验运行中的良率超出预期;➁ 预计2025年实现量产;➂ 2纳米节点引入纳米片架构,这是半导体行业的首次。➀ TSMC's 2nm chip yields exceed expectations in trial runs; ➁ Mass production is scheduled for 2025; ➂ The 2nm node introduces nanosheet architecture, a first in the semiconductor industry.
12/07/2024, 03:35 PM UTC
博通推出专为AI和HPC设计的巨无霸3.5D XDSiP平台——6000mm²堆叠硅芯片,配备12个HBM模块Broadcom unveils gigantic 3.5D XDSiP platform for AI XPUs — 6000mm² of stacked silicon with 12 HBM modules
➀ 博通推出了专为AI和HPC设计的3.5D XDSiP平台;➁ 该平台采用台积电的CoWoS和其他先进封装技术;➂ 平台支持最多6000mm²的3D堆叠硅芯片和12个HBM模块的系统封装,预计2026年推出。➀ Broadcom unveils its 3.5D XDSiP platform for AI and HPC processors; ➁ The platform utilizes TSMC's CoWoS and advanced packaging technologies; ➂ It allows for SiPs with up to 6000mm² of 3D-stacked silicon and 12 HBM modules, set to arrive in 2026.
12/06/2024, 06:23 AM UTC
2024年第三季度晶圆厂收入增长27%:AI需求推动行业增长,成熟节点竞争加剧Q3 foundry revenues up 27% y-o-y
➀ 2024年第三季度晶圆厂收入同比增长27%,主要得益于强劲的AI需求和中国的复苏;➁ 台积电表现超出预期,先进节点利用率高;➂ 非AI半导体复苏缓慢,预计到2025年成熟节点晶圆厂竞争将加剧。➀ The foundry revenue grew 27% YoY and 11% QoQ in Q3 2024, driven by strong AI demand and China's recovery; ➁ TSMC's performance exceeded expectations with high utilization rates at leading-edge nodes; ➃ The recovery of non-AI semiconductors remained slow, and mature-node foundry competition is expected to intensify in 2025.
12/06/2024, 01:00 AM UTC
英特尔18A工艺的谜团The 18A Enigma
➀ 英特尔CEO的离职可能与18A工艺的良率问题有关;➁ 据朝鲜日报报道,18A工艺的良率仅为10%,这引发了英特尔与台积电竞争力的担忧;➂ 英特尔全球运营官和晶圆厂业务总经理Naga Chandrasekaran提出相反的观点,表示正在解决良率和缺陷密度问题。➀ The departure of Intel's CEO may be linked to yield issues with the 18A process; ➁ Chosun reports a 10% yield rate, raising concerns about Intel's competitiveness against TSMC; ➂ Intel's chief operations officer, Naga Chandrasekaran, offers a contrasting view, stating that yield and defect density challenges are being addressed.
12/05/2024, 04:00 PM UTC
台积电限制导致中国芯片设计公司裁员:7nm节点访问受限可能让150名员工失业Jobs eviscerated at Chinese Arm chip design firm in wake of restrictions from TSMC — lack of access to 7nm node could cause 150 employees to be laid off
➀ 中国服务器CPU设计公司宏芯微电子可能因台积电对7nm节点访问的限制而裁员至一半;➁ 这次裁员是台积电决定切断中国半导体公司对其先进节点(包括从7nm到即将推出的2nm工艺)的访问的结果;➂ 这一情况凸显了在国际贸易紧张和出口管制背景下,中国芯片设计者面临的挑战。➀ Hongjun Microelectronics, a Chinese server CPU designer, may lay off up to half of its workforce due to restrictions from TSMC on access to the 7nm node; ➁ The layoffs are a result of TSMC's decision to cut off Chinese semiconductor companies from its advanced nodes, which includes the 7nm to 2nm process; ➂ The situation highlights the challenges faced by Chinese chip designers in the wake of international trade tensions and export controls.
12/05/2024, 09:19 AM UTC
晶圆级引擎助力AI效率提升Wafer Scale Engines For AI Efficiency
➀ Cerebras推出新一代晶圆级AI加速器CS-3,其速度比前代快两倍;➁ Cerebras的WSE-3将高速SRAM均匀分布在整个芯片表面,每个核心都能单周期访问快速内存;➂ Cerebras与Tri-Labs合作,利用晶圆级技术加速模拟,如材料科学和药物研究。➀ Cerebras推出新一代晶圆级AI加速器CS-3,其速度比前代快两倍;➁ Cerebras的WSE-3将高速SRAM均匀分布在整个芯片表面,每个核心都能单周期访问快速内存;➂ Cerebras与Tri-Labs合作,利用晶圆级技术加速模拟,如材料科学和药物研究。
12/05/2024, 06:32 AM UTC
英特尔18A工艺明年量产,晶圆厂总经理表示一切按计划进行Intel’s 18A on-track for production ramp next year, says foundry GM
➀ 英特尔全球运营负责人兼晶圆厂业务总经理Naga Chandrasekaran表示,英特尔的18A工艺明年将开始量产。➁ 计划在2025年上半年度向客户样品18A芯片,并在下半年开始俄勒冈晶圆厂的生产。➂ 18A的缺陷密度目前为每平方厘米0.4个缺陷,与TSMC的N7和N5在量产前的缺陷密度相当。➀ Intel's 18A process is on track for production ramp-up next year, according to Naga Chandrasekaran, Intel's chief global operations officer and GM of the foundry business. ➁ The plan is to sample 18A chips to customers in H1 2025 and start production in H2 at Intel's Oregon fab. ➂ The defect density on 18A is currently at 0.4 defects per cm^2, comparable to TSMC's N7 and N5 processes before mass production.
12/05/2024, 02:34 AM UTC
台积电2nm吊打对手的武器TSMC's 2nm Technology Dominates Rivals with Its Weapons
<p>➀ 文章讨论了英特尔18A工艺和台积电N2工艺的SRAM密度,突出了台积电N2在SRAM密度和GAA技术方面的优势。</p><p>➁ 预计台积电的2nm工艺将在2025年推出,包括关键技术如GAA晶体管和背面电源供应网络。</p><p>➂ 制造2nm技术的挑战,包括GAA晶体管的复杂性和对极紫外光(EUV)工具的依赖,也被提及。</p><p>➀ The article discusses the SRAM density of Intel's 18A process and TSMC's N2 process, highlighting the advantages of TSMC's N2 in terms of SRAM density and GAA technology.</p><p>➁ TSMC's 2nm process is expected to be introduced in 2025, with key technologies including GAA transistors and backside power supply networks.</p><p>➂ The challenges of manufacturing 2nm technology, including the complexity of GAA transistors and the dependency on EUV tools, are also addressed.</p>
12/04/2024, 05:11 AM UTC
NVIDIA下一代Rubin AI GPU或因HBM4提前6个月发布NVIDIA's next-gen Rubin AI GPU could be pushed up 6 months ahead of schedule with HBM4
➀ NVIDIA的Rubin AI GPU架构,原定于2026年发布,现在预计提前六个月;➁ 采用台积电的3nm工艺和下一代HBM4内存;➂ 继承了Blackwell架构,NVIDIA正与台湾合作伙伴合作开发基于R100的AI服务器。➀ NVIDIA's Rubin AI GPU architecture, originally set for 2026, is now expected six months earlier; ➁ Utilizing TSMC's 3nm process and next-gen HBM4 memory; ➂ Follows the Blackwell architecture, with NVIDIA collaborating with Taiwanese partners on R100-powered AI servers.
12/03/2024, 12:34 PM UTC
台积电工程师吹嘘近期2nm产率提升6%,为顾客节省数十亿美元TSMC engineer boasts of recent 6% boost to 2nm yields, passing 'billions in savings' to customers
➀ 台积电即将开始大规模生产2nm级半导体;➁ 公司测试芯片产率提升6%,为顾客节省数十亿美元;➂ 台积电的N2工艺采用GAA纳米片晶体管,承诺显著降低功耗和提升性能。➀ TSMC is poised to begin mass production of 2nm-class semiconductors; ➁ The company has achieved a 6% increase in yield for test chips, saving billions for customers; ➂ TSMC's N2 process utilizes GAA nanosheet transistors, promising significant power reduction and performance boost.
12/03/2024, 12:00 PM UTC
英特尔面临的困境Intel’s Dilemma
➀ 英特尔在帕特·格尔辛格的领导下初期承诺雄心勃勃,但执行力度不足;➁ 公司面临着臃肿的员工队伍、风险规避的文化和落后的AI战略等挑战;➂ 英特尔在工艺技术上的投资并未带来显著成果,公司必须对其未来做出艰难的选择。➀ Intel's initial promises under Pat Gelsinger were ambitious but execution has been lacking; ➁ The company faces challenges with a bloated workforce, risk-averse culture, and a lagging AI strategy; ➂ Intel's investments in process technology have not yielded significant results, and the company must make hard choices about its future.
12/03/2024, 08:50 AM UTC
英特尔CEO帕特·基辛格退休,台积电刘德音成接班人选Intel's CEO Pat Gelsinger Retires; TSMC's Mark Liu Considered for Succession
<p>➀ 英特尔CEO帕特·基辛格已退休,大卫·辛斯纳和米歇尔·约翰斯顿·霍尔特豪斯担任临时共同首席执行官。</p><p>➁ 据称董事会已接触台积电前董事长刘德音,作为潜在继任者。</p><p>➂ 基辛格的离职是在一个令人失望的转型计划和组织变革之后。</p><p>➀ Intel's CEO Pat Gelsinger has retired, with David Zinsner and Michelle Johnston Holthaus stepping in as interim co-CEOs.</p><p>➁ The board is said to have approached TSMC's former chairman Mark Liu as a potential successor.</p><p>➂ Gelsinger's exit follows a disappointing turnaround plan and organizational changes.</p>
12/02/2024, 08:47 PM UTC
苹果放弃使用台积电2nm芯片,M5芯片将采用SoIC封装技术,预计2025年底推出Apple won't use TSMC 2nm chip for M5 chip over high costs, will use SoIC packaging in late 2025
➀ 苹果已从台积电订购下一代M5芯片,用于iPad Pro和Mac,预计2025年下半年生产;➁ M5芯片将采用台积电的3nm工艺和SoIC技术;➂ 新的M5芯片预计将增强消费设备和云服务中的AI能力。➀ Apple has ordered next-gen M5 chips from TSMC for iPad Pro and Macs, with production in 2H 2025; ➁ Apple will use TSMC's 3nm process and SoIC technology for the M5 chips; ➂ The new M5 chips are expected to enhance AI capabilities in consumer devices and cloud services.
11/19/2024, 02:00 PM UTC
Alchip引领未来3D设计创新之路Alchip is Paving the Way to Future 3D Design Innovation
➀ Alchip在TSMC OIP生态系统论坛上展示;➁ 克服3D IC设计挑战;➂ 与Synopsys和TSMC合作进行3D设计创新➀ Alchip presents at TSMC OIP Ecosystem Forum; ➁ Challenges in 3D IC design overcome; ➂ Collaboration with Synopsys and TSMC for 3D design innovation
11/15/2024, 04:10 AM UTC
三星为Meta和微软开发定制HBM4解决方案,以对抗台积电和SK海力士Samsung working on 'custom HBM4' solutions for Meta and Microsoft, to fight TSMC and SK hynix
➀ 三星正在为Meta和微软开发定制化的HBM4内存解决方案;➁ 预计到2025年底开始量产;➂ 新的HBM4内存将提供2TB/s的带宽和高达48GB的容量。➀ Samsung is developing customized HBM4 memory solutions for Meta and Microsoft; ➁ Mass production is expected by the end of 2025; ➂ The new HBM4 memory will offer 2TB/sec bandwidth and up to 48GB capacities.
11/11/2024, 04:10 AM UTC
全球EUV光刻机领导者ASML遭遇全球IT故障,影响全球设施EUV lithography machine leader ASML struck by IT outage that affected its facilities globally
➀ ASML遭遇全球IT故障,影响其运营;➁ 故障影响了洁净室与供应商的沟通;➂ ASML对TSMC和英特尔等公司至关重要。➀ ASML experienced a global IT outage disrupting its operations; ➁ The outage affected clean rooms and communication with suppliers; ➂ ASML is crucial for companies like TSMC and Intel.
11/09/2024, 10:19 AM UTC
台积电下周或将停止向中国AI企业供应7nm及以下芯片 | 趋势力量新闻[News] TSMC Reportedly to Halt 7nm and Below Chip Shipments to China’s AI Firms Next Week | TrendForce News
➀ 台积电据报道将在下周停止向中国AI企业供应7nm及以下芯片;➁ 此举紧随之前通过代理向华为供应7nm芯片的争议之后;➂ 这种做法可能是对近期贸易紧张和地缘政治问题的回应。➀ TSMC is reportedly set to halt 7nm and below chip shipments to Chinese AI firms next week; ➁ This follows previous controversies involving the supply of 7nm chips to Huawei through proxies; ➂ The move is likely a response to recent trade tensions and geopolitical issues.
11/09/2024, 10:19 AM UTC
新消息:德克萨斯州州长计划访问台积电,提供激励以吸引投资 | TrendForce新闻[New] Texas Governor Reported to Visit TSMC, Offering Incentives to Attract Investment | TrendForce News
➀ 德克萨斯州州长计划访问台积电;➁ 提供激励措施以吸引投资;➂ 台积电成为各方积极合作的对象。➀ Texas Governor is reported to visit TSMC; ➁ Offering incentives to attract investment; ➂ TSMC is the focus of active collaboration efforts.
11/08/2024, 05:09 AM UTC
美国总统拜登和当选总统特朗普可能都将出席TSMC亚利桑那工厂开业典礼US President Biden, President-elect Trump could BOTH visit TSMC's Arizona fab opening ceremony
➀ 美国总统拜登和当选总统特朗普据传都将出席TSMC在亚利桑那州的新半导体工厂开业典礼;➁ TSMC对于苹果、AMD、英特尔和英伟达等美国科技巨头至关重要;➂ TSMC在亚利桑那州的新工厂对美国半导体产业具有重要意义。➀ US President Biden and President-elect Trump are rumored to attend TSMC's semiconductor fab opening in Arizona in December 2024; ➁ TSMC is crucial for US tech giants like Apple, AMD, Intel, and NVIDIA; ➂ TSMC's new Arizona fab is significant for the US semiconductor industry.
11/07/2024, 10:08 AM UTC
芯片与媒体获得台积电3纳米库Chips&Media receives TSMC’s 3nm library
➀ 韩国视频IP公司芯片与媒体宣布获得台积电的3纳米库;➁ 这将使得芯片与媒体的客户在签署合同前能够评估兼容的IP;➂ 这标志着两家公司之间的战略合作伙伴关系。➀ Chips&Media, a South Korean video IP firm, announced the acquisition of TSMC's 3nm library; ➁ This will allow Chips&Media's customers to assess compatible IPs before contract signing; ➂ The move signifies a strategic partnership between the two companies.
11/03/2024, 04:00 PM UTC
KLAC:业绩稳健,前景不明,中国因素成关键KLAC – OK Qtr/Guide – Slow Growth – 2025 Leading Edge Offset by China – Mask Mash
➀ KLAC报告稳健的季度业绩,增长温和;➁ 中国经济放缓带来不确定性;➂ TSMC持续占据投资主导地位;➃ KLA在光罩检查市场面临挑战。➀ KLA reports a solid quarter with modest growth; ➁ China's economic moderation poses uncertainty; ➂ TSMC's spending dominance continues; ➃ KLA faces challenges in reticle inspection market.
11/01/2024, 02:44 AM UTC
三星提升关键HBM供应,暗示与台积电合作[News] Samsung Advances Key HBM Supply, Hints at TSMC Partnership
➀ 三星电子在第三季度财报中宣布,尽管利润大幅下降,但盈利情况超出预期。➁ 公司强调其在高带宽内存(HBM)供应方面的进步。➂ 三星暗示可能将与台积电进行合作,表明在半导体行业中进行战略布局的意图。➀ Samsung Electronics reported a better-than-expected profit in its third-quarter earnings, despite a significant decline in profits. ➁ The company highlighted its advancement in high-bandwidth memory (HBM) supply. ➂ Samsung hinted at a potential partnership with TSMC, indicating a strategic move in the semiconductor industry.
10/30/2024, 11:30 PM UTC
英特尔CEO失误惹怒台积电,导致取消40%折扣[News] Intel CEO’s Missteps Reportedly offended TSMC, Leading to a Canceled 40% Discount
➀ 据报道,英特尔CEO的行为引起台积电不满;➁ 这一事件导致台积电取消了40%的折扣;➂ 折扣取消发生在英特尔即将发布的第三季度财务报告之前。➀ Intel's CEO reportedly made a misstep that offended TSMC; ➁ The incident led to TSMC canceling a 40% discount; ➃ The discount cancellation is ahead of Intel's Q3 financial announcement.
10/30/2024, 04:33 AM UTC
OpenAI 与博通和台积电合作开发首颗AI芯片,缩减其晶圆厂雄心OpenAI to build its first AI chip with Broadcom and TSMC, scaling back its foundry ambitions
➀ OpenAI 正与博通和台积电合作开发其首颗内部AI芯片;➁ 由于成本和时间限制,公司正在缩减其晶圆厂雄心;➂ OpenAI 正采用行业合作伙伴关系和内部与外部方法的混合策略来管理芯片供应和成本。➀ OpenAI is collaborating with Broadcom and TSMC to develop its first in-house AI chip; ➁ The company is scaling back its foundry ambitions due to cost and time constraints; ➂ OpenAI is adopting a strategy of using industry partnerships and a mix of internal and external approaches to manage chip supply and costs.
10/30/2024, 03:59 AM UTC
台积电收购传闻之下,群创否认南台湾工厂出售计划[News] Innolux Denies Plant Sale Plans in Southern Taiwan amid TSMC’s Purchase Rumors
➀ 在台积电可能收购的传闻中,群创否认了其在南台湾工厂的出售计划。➁ 人工智能的繁荣增加了先进封装生产能力的需求,推动了行业增长。➂ 该情况凸显了半导体制造工厂在台湾的战略重要性。➀ Innolux denies plans to sell its plant in southern Taiwan amidst rumors of TSMC's potential purchase. ➁ The AI boom has increased demand for advanced packaging production capacity, driving industry growth. ➂ The situation highlights the strategic importance of semiconductor manufacturing facilities in Taiwan.
10/30/2024, 03:40 AM UTC
台积电疑似因华为关系切断芯片供应商;比特大陆供应受威胁[News] TSMC Reportedly Cuts Off Chip Clients Over Huawei Links; Bitmain Supply at Risk
➀ 据报道,台积电已停止向至少两家芯片开发商发货;➁ 这一举措疑似是为了规避美国出口限制;➂ 比特大陆的供应据称因此受到威胁。➀ TSMC has reportedly stopped shipments to at least two chip developers; ➁ The move is suspected to be due to their attempts to bypass U.S. export restrictions; ➂ Bitmain's supply is reportedly at risk due to this action.
10/29/2024, 03:59 PM UTC
群創整廠出售…不在計劃中群創整廠出售…不在計劃中
➀ 據報,AI對半導體的需求強烈,導致先進製造產能出現短缺。➁ 市場傳言台積電有意向收購群創的整廠,目標是南科七廠。➁ 群創發言人昨日強調,出售整廠並非其計劃。➀ AI's strong demand for semiconductors has led to a shortage in advanced manufacturing capacity.➁ There are rumors that TSMC is interested in purchasing an entire factory from Chunghwa Picture Tubes, targeting the seventh factory in South Science Park.➃ Chunghwa Picture Tubes' spokesperson emphasized yesterday that the sale of the entire factory is not on their agenda.
10/29/2024, 07:38 AM UTC
苹果据悉正在开发M5芯片,推动台积电先进制程订单增加[News] Apple is Reportedly Developing M5 Chips, Boosting Orders for TSMC’s Advanced Processes
➀ 苹果据悉正在开发M5芯片;➁ 这一举措正在推动台积电先进制程的订单增加;➂ 行业正关注苹果即将推出配备自研M4芯片的新产品。➀ Apple is reportedly developing M5 chips; ➁ The move is boosting orders for TSMC's advanced processes; ➂ The industry is focusing on the launch of Apple's new products featuring the self-developed M4 chip.
10/29/2024, 06:08 AM UTC
苹果下一代M5芯片预计于2025年底发布,新款iPad Pro可能于2025年底或2026年初推出Apple's next-gen M5 chip to launch by end of 2025, new iPad Pro expected in late 2025, or 2026
➀ 苹果下一代M5芯片预计于2025年底发布;➁ 新款iPad Pro预计将于2025年底或2026年初发布;➂ M5芯片专为新款11英寸和13英寸iPad Pro以及M5 MacBook笔记本电脑设计。➀ Apple's next-gen M5 chip is expected to launch by the end of 2025; ➁ The new iPad Pro is expected to be released in late 2025 or early 2026; ➂ The M5 chip is designed for the new 11-inch and 13-inch iPad Pro and M5 MacBook laptops.
10/29/2024, 03:15 AM UTC
台积电与南亚科技公布台湾新先进制程及CoWoS封装扩展计划[New] TSMC and SPIL Unveil New Advanced Node and CoWoS Expansion Efforts in Taiwan
➀ 台积电与南亚科技正在台湾提升其半导体制造能力;➁ 高雄的新2nm晶圆厂将是这些努力的关键设施;➂ 扩展重点在于先进制程和CoWoS封装技术。➀ TSMC and SPIL are advancing their semiconductor manufacturing capabilities in Taiwan; ➁ The new 2nm fab in Kaohsiung will be a key facility for these efforts; ➂ The expansion focuses on advanced node and CoWoS packaging technologies.
10/29/2024, 12:30 AM UTC
华为芯片代理策略揭露美国新制裁漏洞[News] Huawei’s Proxy Tactics for Advanced Chips Expose New U.S. Sanctions Loophole
➀ 华为在先进芯片的代理策略中暴露出美国新制裁的漏洞;➁ 该问题涉及华为的Ascend 910B芯片,据称由台积电制造;➂ 这起争议凸显了国际贸易和技术法规的复杂性。➀ Huawei's proxy tactics for advanced chips come to light, revealing a new U.S. sanctions loophole; ➁ The issue involves Huawei's Ascend 910B chip, reportedly manufactured by TSMC; ➂ The controversy highlights the complexities of international trade and technology regulations.
10/28/2024, 02:30 AM UTC
台积电疑似因华为AI处理器中发现的芯片停止向中国芯片设计公司Sophgo供货[News] TSMC Reportedly Halts Shipments to Chinese Firm Sophgo After Chip Found in Huawei Processor
➀ 据报道,台积电已停止向Sophgo供货;➁ 据称,台积电生产的芯片被发现在华为的AI处理器中;➂ 停止供货可能是因为该芯片出现在华为处理器中➀ TSMC has reportedly stopped shipments to Sophgo; ➁ A chip manufactured by TSMC was found in a Huawei AI processor; ➂ The halt in shipments is likely due to the chip's presence in the Huawei processor
10/24/2024, 12:00 PM UTC
谷歌或采用台积电N3E工艺替代2nm制造Tensor G6[News] Google Reportedly Adopts TSMC’s N3P Process instead of 2nm for Tensor G6
➀ 据传闻,谷歌将采用台积电的N3E工艺制造Tensor G5;➁ 报告还明确指出,谷歌没有选择为Tensor G6使用2nm技术;➂ 这一举措可能影响AI和智能手机芯片市场的竞争。➀ Google is rumored to switch to TSMC's N3E process for Tensor G5; ➁ The report also clarifies that Google has chosen not to use 2nm technology for Tensor G6; ➂ The move could impact the competition in the AI and smartphone chip markets.
10/24/2024, 02:36 AM UTC
谷歌下一代Tensor G6处理器代号“Malibu”:采用台积电2nm工艺,性能和功耗提升Google's next-gen Tensor G6 codename 'Malibu' chip: TSMC 2nm for major perf, power improvements
➀ 谷歌下一代Tensor G6处理器,代号为“Malibu”,将基于台积电2nm工艺制造;➁ 预计Tensor G6将驱动即将推出的Pixel 11智能手机系列;➂ 新处理器承诺将带来显著的性能和功耗提升。➀ Google's next-gen Tensor G6 processor, codenamed 'Malibu', will be built on TSMC's 2nm process node; ➁ The Tensor G6 is expected to power the upcoming Pixel 11 smartphone series; ➂ The new processor promises major performance and power improvements.
10/23/2024, 01:00 PM UTC
塑造明天的半导体技术——IEDM 2024前瞻Shaping Tomorrow’s Semiconductor Technology IEDM 2024
➀ IEDM 2024将于12月7日至11日在旧金山举行;➁ 关注AI、台积电的2nm逻辑平台和英特尔极端缩放晶体管;➂ 回顾IEDM 70年历史,并探讨先进封装、功率晶体管和脑机接口。➀ The IEDM 2024 conference will be held in San Francisco from December 7th to 11th; ➁ Focus on AI, TSMC's 2nm Logic Platform, and Intel's extreme scaled transistors; ➂ Reflect on 70 years of IEDM history and explore advanced packaging, power transistors, and brain/electronics interfaces.
10/22/2024, 04:19 AM UTC
台湾对使用新型核技术持开放态度,随着AI需求吞噬电力供应Taiwan is 'very open' to using new nuclear technology as AI demand devours electricity supply
➀ 台湾正在考虑改变其核能政策,以应对人工智能对电力的日益增长的需求;➁ 台湾现有的核能设施正在老化,2024年仅有一座核电站运行;➂ 台湾 Premier 崔俊台表示,只要在核安全和管理核废料方面达成共识,就愿意讨论使用新的核能技术。➀ Taiwan is considering changing its nuclear energy policy due to the increasing demand for electricity from AI; ➁ The existing nuclear power facilities in Taiwan are aging, and there is only one operational plant in 2024; ➂ Taiwanese Premier Cho Jung-tai expressed openness to new nuclear technologies with proper safety measures and waste management.
10/22/2024, 03:19 AM UTC
苹果新一代M4芯片:M4、M4 Pro、M4 Max将驱动新一代MacBook Pro笔记本电脑Apple's next-gen M4 chips in M4, M4 Pro, M4 Max will power new wave of MacBook Pro laptops
➀ 苹果即将推出新一代M4系列处理器;➁ 新款MacBook Pro将搭载M4、M4 Pro和M4 Max SoC;➂ 新处理器采用台积电的3nm工艺节点。➀ Apple is set to unveil its new M4 family of processors; ➁ The new MacBook Pros will be powered by the M4, M4 Pro, and M4 Max SoCs; ➂ TSMC's 3nm process node is used for the new processors.
10/21/2024, 01:00 PM UTC
Analog Bits在TSMC OIP上为未来铺路Analog Bits Builds a Road to the Future at TSMC OIP
➀ 在TSMC OIP上,Analog Bits展示了其片上传感IP和电源管理解决方案;➁ 公司强调了其在3nm和2nm技术节点上的进展;➂ 与Arm的合作被讨论,重点关注电源管理和时钟IP。➀ Analog Bits showcased its on-die sensing IP and power management solutions at TSMC OIP; ➁ The company highlighted its progress in 3nm and 2nm technology nodes; ➂ Collaborations with Arm were discussed, focusing on power management and clocking IPs.
10/20/2024, 10:55 AM UTC
NVIDIA Blackwell AI GPU问题损害与台积电关系,RTX 50 GPU可能由三星制造NVIDIA Blackwell AI GPU issues hurt relations with TSMC, RTX 50 GPUs nearly made by Samsung
➀ NVIDIA的Blackwell AI GPU问题导致与台积电关系紧张;➁ NVIDIA考虑将GeForce RTX 50系列GPU的生产转给三星;➂ 台积电和NVIDIA互相指责缺陷问题。➀ NVIDIA's Blackwell AI GPU issues caused tension with TSMC; ➁ NVIDIA considered Samsung for GeForce RTX 50 series GPU production; ➂ TSMC and NVIDIA blame each other for the defects.
10/14/2024, 02:01 AM UTC
NVIDIA Blackwell AI GPU 下12个月将面临缺货NVIDIA Blackwell GPUs for AI are effectively 'sold out' for the next 12 months
➀ 由于需求旺盛,NVIDIA的Blackwell AI GPU未来12个月将面临缺货;➁ 包括谷歌、Meta和微软在内的主要科技公司对Blackwell GPU需求极高;➂ 尽管生产延迟,但Blackwell预计将为AI训练和推理带来显著的性能提升。➀ NVIDIA's Blackwell AI GPUs are 'sold out' for the next 12 months due to high demand; ➁ The GPUs are in high demand among major tech companies like Google, Meta, and Microsoft; ➂ Despite the delay in production, Blackwell is expected to deliver significant performance improvements for AI training and inference.
10/08/2024, 05:00 PM UTC
通过3DBlox最大化3D集成电路设计生产力:TSMC在2024年的进展和创新Maximizing 3DIC Design Productivity with 3DBlox: A Look at TSMC’s Progress and Innovations in 2024
➀ TSMC的3DBlox框架解决了3DIC设计的复杂性;➁ 2024年的创新重点在于简化3D设计挑战;➂ TSMC在管理3DIC系统中的电气和物理约束方面的策略。➀ TSMC's 3DBlox framework addresses complexities in 3DIC design; ➁ Innovations in 2024 focus on simplifying 3D design challenges; ➂ TSMC's strategies for managing electrical and physical constraints in 3DIC systems.
10/08/2024, 06:44 AM UTC
AMD将成为TSMC亚利桑那州新工厂的下一个大客户:2025年美国制造的高性能计算AI芯片AMD should be TSMC's next huge customer for Arizona: HPC AI chips made in the USA in 2025
➀ 据报道,AMD将在TSMC亚利桑那州的新工厂生产下一代高性能计算AI芯片;➁ 这使得AMD成为继苹果之后该新工厂的第二个主要客户;➂ 预计将在2025年使用TSMC的5nm工艺节点开始生产。➀ AMD is reportedly set to produce next-gen, high-performance HPC AI chips at TSMC's new Arizona fab; ➁ This makes AMD the second major client for the new fab after Apple; ➂ Production is expected to begin in 2025 at TSMC's 5nm process node.
10/03/2024, 02:11 AM UTC
苹果A18 Pro与A18 SoC芯片尺寸对比:比A17 Pro略大,基于台积电N3E节点制造Apple A18 Pro, A18 SoC die sizes compared: a bit bigger than A17 Pro, built on TSMC N3E node
➀ 苹果A18 Pro与A18 SoC芯片尺寸进行对比;➁ A18 Pro芯片尺寸为105mm²,而A18为90mm²;➂ 苹果使用台积电的N3E节点进行制造,其密度低于N3B。➀ Apple's new A18 Pro and A18 SoC die sizes are compared; ➁ The A18 Pro has a 105mm² die size, while the A18 is 90mm²; ➂ Apple is using TSMC's N3E node for fabrication, which has a lower density than N3B.
09/22/2024, 11:47 AM UTC
苹果2026年iPhone 18将使用台积电2nm芯片,iPhone 17芯片采用3nm工艺Apple's future-gen iPhone 18 in 2026 to use 2nm chip made by TSMC, iPhone 17 chip made on 3nm
➀ 苹果2026年的iPhone 18将使用台积电的2nm芯片;➁ 部分iPhone 18型号可能采用3nm芯片;➂ 2025年的iPhone 17型号将使用3nm芯片。➀ Apple's iPhone 18 in 2026 will use TSMC's 2nm chips; ➁ Some iPhone 18 models might have 3nm chips; ➂ iPhone 17 models will use 3nm chips in 2025.
09/20/2024, 02:33 AM UTC
字节跳动母公司将在2026年使用台积电5nm工艺生产两款定制AI芯片TikTok parent company ByteDance to have 2 custom AI chips made on TSMC 5nm process in 2026
➀ 字节跳动正在开发两款AI GPU,将在台积电的5nm工艺节点生产;➁ 预计2026年进入量产;➂ 此举旨在减少对英伟达的依赖,并遵守美国出口管制规定。➀ ByteDance is developing two AI GPUs to be produced on TSMC's 5nm process node; ➁ Expected to enter mass production in 2026; ➂ The move is to reduce reliance on NVIDIA and comply with US export regulations.
08/27/2024, 01:00 PM UTC
Analog Bits 势头强劲,展望未来Analog Bits Momentum and a Look to the Future
➀ Analog Bits 正在积极推进到先进节点,包括在3nm和2nm的新IP开发。➁ 公司持续增强其产品目录,包括故障检测、电源管理和高温精度PVT传感器等技术。➂ 未来可能看到Analog Bits集成AI进行自适应控制,提升系统性能和能效。➀ Analog Bits is aggressively moving to advanced nodes, with new IP developments at 3nm and 2nm. ➁ The company continues to enhance its catalog with technologies like glitch detection, power management, and high-accuracy PVT sensors. ➂ The future may see Analog Bits integrating AI for adaptive control, enhancing system performance and power efficiency.
08/20/2024, 01:00 PM UTC
Weebit Nano:ReRAM革命的核心Weebit Nano is at the Epicenter of the ReRAM Revolution
➀ Weebit Nano 正引领 ReRAM 革命,解决闪存的局限性。 ➁ TSMC 和其他代工厂正在探索和采用 ReRAM 技术。 ➂ ReRAM 在 AI 和汽车等多种应用中势头强劲。➀ Weebit Nano is leading the ReRAM revolution, addressing the limitations of flash memory. ➁ TSMC and other foundries are exploring and adopting ReRAM technology. ➂ ReRAM is gaining momentum in various applications, including AI and automotive.
07/30/2024, 05:00 PM UTC
台积电业务更新与新战略发布TSMC’s business update and launch of a new Strategy
1、台积电在2024年第二季度报告了创纪录的收入,表明半导体行业正在从下行周期中复苏。2、公司推出了Foundry 2.0战略,重点是先进封装和3D集成。3、台积电正从组件公司转变为子系统公司,旨在控制更多的供应链。1. TSMC reported record revenue in Q2-2024, indicating the semiconductor industry is recovering from a downcycle. 2. The company introduced its Foundry 2.0 strategy, focusing on advanced packaging and 3D integration. 3. TSMC is transitioning from a components company to a subsystems company, aiming to control more of the supply chain.
07/24/2024, 01:40 AM UTC
台积电拒绝英伟达为其GPU设立专用封装生产线的请求TSMC declined NVIDIA's request for a dedicated packaging manufacturing line for its GPUs
1、台积电拒绝了英伟达为其GPU设立专用封装生产线的请求。2、这一请求是在英伟达CEO黄仁勋与台积电高管的会议上提出的。3、由于AI市场的蓬勃发展,台积电正努力满足对先进封装能力的高需求。1. TSMC declined NVIDIA's request for a dedicated packaging line for its GPUs. 2. The request was made during a meeting between NVIDIA CEO Jensen Huang and TSMC executives. 3. TSMC is struggling to meet the high demand for advanced packaging capacity due to the booming AI market.
07/19/2024, 01:00 PM UTC
台积电、英特尔和三星的代工2.0战略TSMC Foundry 2.0 Intel IDM 2.0 and Samsung IDunno 2.0
1、台积电的“可信代工”战略限制了三星的市场份额,使其只能服务于非台积电客户。2、英特尔的IDM 2.0显示出令人印象深刻的进展,有可能从三星手中夺取市场份额。3、台积电的代工2.0战略侧重于扩展产品和服务,N2和N2P技术预计将在性能和能效方面领先。1. TSMC's Trusted Foundry strategy has limited Samsung's market share to non-TSMC customers. 2. Intel's IDM 2.0 has shown impressive progress and could potentially take market share from Samsung. 3. TSMC's Foundry 2.0 strategy focuses on expanding products and services, with N2 and N2P technologies expected to lead in performance and power efficiency.
07/18/2024, 05:00 PM UTC
台积电2024年第二季度 业绩:HPC营收占比超52%,AI需求推动创历史最佳季度TSMCs Q2'24 Results: Best Quarter Ever as HPC Revenue Share Exceeds 52% on AI Demand
1、台积电2024年第二季度营收达到208.2亿美元,创下公司历史最佳季度。2、高性能计算(HPC)营收占比首次超过52%,主要由AI处理器需求和PC市场复苏推动。3、先进技术(N3、N5、N7)占总晶圆营收的67%,其中N3工艺技术占比15%。1. TSMC's Q2 2024 revenue reached $20.82 billion, marking the best quarter in the company's history. 2. HPC revenue share exceeded 52%, driven by AI processor demand and a rebound in the PC market. 3. Advanced technologies (N3, N5, N7) accounted for 67% of total wafer revenue, with N3 process technologies contributing 15%.
06/24/2024, 04:34 AM UTC
台积电与全球联合科技赢得SK海力士下一代HBM4内存基底芯片大量订单TSMC and Global Unichip win bulk orders for base dies used on SK hynix's next-gen HBM4 memory
1、台积电与全球联合科技获得SK海力士下一代HBM4内存基底芯片的大量订单。2、台积电与创意电子合作,专注于开发AI服务器所需的HBM关键周边组件。3、业界预期HBM4将有重大变化,包括堆栈高度增加和集成逻辑IC以提升带宽传输速度。1. TSMC and Global Unichip have secured bulk orders for base dies used in SK hynix's next-gen HBM4 memory. 2. The collaboration between TSMC and Creative is focused on developing HBM key peripheral components for AI servers. 3. The industry anticipates significant changes in HBM4, including increased stack height and the integration of logic ICs to enhance bandwidth transmission speeds.
06/24/2024, 02:47 AM UTC
英伟达向台积电追加新订单,订购更多Blackwell GB200、B100、B200 AI芯片NVIDIA places fresh new orders with TSMC for more Blackwell GB200, B100, B200 AI chips
1、据报道,由于对AI芯片的高需求,英伟达已向台积电追加订单,订购其Blackwell平台的GB200、B100和B200芯片。2、生产量的增加导致后端封装和测试工厂的订单激增,ASE投资控股和KYEC的相关订单量翻倍。3、英伟达新款Blackwell芯片的复杂性和测试时间增加,对参与测试过程的第三方公司的平均售价和毛利率产生了积极影响。1. NVIDIA has reportedly placed additional orders with TSMC for its Blackwell platform chips, including GB200, B100, and B200, due to high demand for AI chips. 2. The increased production volume has led to a surge in orders for back-end packaging and testing plants, with ASE Investment Holdings and KYEC experiencing a doubling of related order volume. 3. The complexity and testing time for NVIDIA's new Blackwell chips have increased, positively impacting the average selling price and gross profit margin of third-party companies involved in the testing process.
06/21/2024, 02:27 AM UTC
台积电探索“全新”的半导体封装技术:板级封装TSMC exploring 'radically new' semiconductor packaging technique called panel-level packaging
1. 台积电正在探索一种名为板级封装的“全新”半导体封装技术。2. 板级封装使用矩形基板代替传统的圆形晶圆,可以容纳更多的芯片。3. 这项研究仍处于早期阶段,将需要对生产工具和材料进行重大开发,以及可能对设施进行长期计划的全面改造。1. TSMC is exploring a 'radically new' semiconductor packaging technique called panel-level packaging. 2. Panel-level packaging uses rectangular substrates instead of conventional round wafers, which can accommodate more chips. 3. The research is still in early stages and would require significant development of production tools and materials, as well as a potential overhaul of facilities for a long-term plan.
06/21/2024, 01:24 AM UTC
分析师上调台积电目标股价,得益于美国科技巨头在N3节点上制造芯片Analyst increases TSMC price target, riding off major US tech giants making chips on N3 node
1. 伯恩斯坦分析师上调了台积电的股价目标;2. 台积电预计将超出其2024年的指导,受到美国科技巨头需求和N3工艺节点成功的推动;3. 数据中心AI收入的持续增长以及新智能手机的AI功能推出有助于台积电的成功。1. Bernstein analysts have increased the price target for TSMC's shares; 2. TSMC is expected to exceed its 2024 guidance driven by demand from US tech giants and the success of the N3 process node; 3. Continued growth in data center AI revenue and the launch of new smartphones with AI capabilities contribute to TSMC's success.
06/18/2024, 02:50 AM UTC
台积电在台中发现考古遗迹后暂停CoWoS先进封装工厂建设TSMC's work on CoWoS advanced packaging halted in Taiwan after archaeological ruins found
1、台积电在台中发现考古遗迹后,暂停了其新的CoWoS先进封装工厂的建设。2、台积电已提出计划,在国家科技委员会南部科学园 区管理局建设第二个CoWoS先进封装设施。3、已召开文化审查会议,决定无论是否为敏感区域,都将每日监控建设过程。1. TSMC's construction of its new CoWoS advanced packaging plant in Chiayi, Taiwan was halted this month after archaeological ruins were discovered. 2. TSMC has proposed a plan to build a second CoWoS advanced packaging facility in the Southern Science Park Administration of the National Science and Technology Council. 3. A cultural review meeting has been convened, and it was resolved that construction process will be monitored daily, regardless of whether it's a sensitive area or not.
06/10/2024, 01:00 PM UTC
台积电先进封装技术克服多芯片设计的复杂性TSMC Advanced Packaging Overcomes the Complexities of Multi-Die Design
1、台积电技术研讨会展示了公司的先进技术和生态系统,重点是先进封装解决方案。2、先进封装已从简单的收尾步骤演变为设计过程中的关键部分,由多芯片异构集成的需求驱动。3、台积电的3DFabric™技术组合包括CoWoS®、InFO和TSMC-SoIC®,支持多芯片封装和3D IC堆叠,提高系统性能和功能。1. TSMC's Technology Symposium highlights the company's advanced technology and its ecosystem, focusing on advanced packaging solutions. 2. Advanced packaging has evolved from a simple finishing step to a critical part of the design process, driven by the need for heterogeneous integration of multiple dies. 3. TSMC's 3DFabric™ Technology Portfolio includes CoWoS®, InFO, and TSMC-SoIC®, which support multi-chip packaging and 3D IC stacking, enhancing system performance and functionality.
05/31/2024, 03:00 PM UTC
TSMC的3D堆叠SoIC包装技术取得快速进展,计划2027年达到3μm间距TSMC's 3D Stacked SoIC Packaging Making Quick Progress, Eyeing Ultra-Dense 3μm Pitch In 2027
1、TSMC的3D堆叠SoIC包装技术正在快速发展,计划2027年达到3μm间距。 2、TSMC的SoIC-X技术将在2027年实现A16和N2两代芯片的堆叠。 3、TSMC还将推出两种新的.packaging技术:SoIC-X和SoIC-P,适用于不同性能和 APPLICATION场景。
05/22/2024, 09:30 PM UTC
台积电路线图概览:N3X、N2P、A16 将于2025/2026推出TSMC's Roadmap at a Glance: N3X, N2P, A16 Coming in 2025/2026
1、台积电将在2025年推出N3X和N2P两种工艺技术,前者关注极致性能,后者关注能效和密度。 2、N3X相比N3P,可以降低7%的功耗或提高5%的性能。 3、N2P和A16将于2026年推出,前者是高性能版,后者是1.6nm级别的工艺技术,具备后端电源网络。
05/21/2024, 03:00 PM UTC
TSMC to Expand CoWoS Capacity by 60% Yearly Through 2026
1、TSMC将扩展CoWoS存储容量,Compound Annual Growth Rate(CAGR)为60%,以满足日益增长的需求。 2、到2026年,CoWoS存储容量将增加四倍。 3、TSMC还将扩展SoIC 3D堆叠技术的容量,Compound Annual Growth Rate(CAGR)为100%。
05/20/2024, 07:58 PM UTC
Asicland获得AI公司D.notitia的订单Asicland wins AI firm D.notitia as customer
1、Asicland获得AI公司D.notitia的订单,价值9.7亿元韩元。 2、D.notitia将使用Asicland设计的SoC来运行其大型语言模型(LLM)。 3、Asicland还与另一个韩国AI公司签订了价值10亿元的订单。
05/17/2024, 06:00 PM UTC
TSMC 展现 EUV 成功之路:更多工具、更多晶圆和行业最佳 pelliclesTSMC Outlines Path to EUV Success: More Tools, More Wafers, & Best Pellicles In Industry
1、TSMC 是 EUV lithography 的领导者,拥有最多的 EUV 工具安装基础。 2、TSMC 的 EUV 晶圆生产能力已经从 2019 年的 1 倍增加到 30 倍。 3、TSMC 通过在 pellicle技术上的创新,提高了 EUV reticles 的使用寿命和输出能力。
05/16/2024, 09:00 PM UTC
台積電擴展特色產能50%,推出4nm N4e低功率節點TSMC to Expand Specialty Capacity by 50%, Introduce 4nm N4e Low-Power Node
1、台積電计划扩展其特色技术生产能力,到2027年增长50%。 2、该公司将推出新的4nm N4e低功率生产节点,用于各种应用。 3、台積電预计未来四到五 年内将其特色生产能力扩大到1.5倍。
05/16/2024, 12:00 PM UTC
TSMC Readies Next-Gen HBM4 Base Dies, Built on 12nm and 5nm Nodes
1、TSMC 将生产基于 12nm 和 5nm 节点的下一代 HBM4 基底_die。 2、该公司正在与 Micron、Samsung 和 SK Hynix 等 MEMORY 厂商合作,使用高级逻辑 process 制作 HBM4 基底_die。 3、HBM4 基底_die 将采用 N12FFC+ 和 N5 两种制程技术,提供更高的性能和更低的功耗
05/15/2024, 10:00 PM UTC
台湾半导体制造公司(TSMC)推出新一代3nm性能优化N3P工艺TSMC: Performance-Optimized 3nm N3P Process on Track for Mass Production This Year
1、TSMC将在2024年下半年开始大量生产N3P工艺,提高性能效率和transistor密度。2、N3E工艺已经实现大量生产,yield性能优良。3、N3P工艺是N3E的光学缩小版,具有更高的性能效率和transistor密度。
05/14/2024, 12:39 PM UTC
台積電3奈米再進擊!歷年最強!蘋果M4未演先轟動!六大技術亮點一次看!
05/06/2024, 01:00 PM UTC
模拟比特继续主导混合信号IP,在台积电技术研讨会上。Analog Bits Continues to Dominate Mixed Signal IP at the TSMC Technology Symposium
The recent TSMC Technology Symposium in the Bay Area showcased the company’s leadership in areas such as solution platforms, advanced and specialty technologies, 3D enablement and manufacturing excellence. As always, the TSMC ecosystem was an important part of the story as well and that topic is the subject of this post. Analog… Read More The post Analog Bits Continues to Dominate Mixed Signal IP at the TSMC Technology Symposium appeared first on SemiWiki.
04/30/2024, 01:45 PM UTC
首度揭露!台積電2奈米後的製程藍圖,三星、英特爾看不到車尾燈!
04/22/2024, 06:09 PM UTC
SK海力士将使用台积电的基础die制作HBM4SK Hynix to use TSMC's base die for HBM4
SK Hynix is planning to use TSMC’s base die technology for its next-generation high bandwidth memory (HBM).The South Korean memory maker used its own process to make the base die for its latest HBM3E.For the follow-up HBM4, which will start mass production in 2026, SK Hynix will use TSMC’s advancedSK Hynix is planning to use TSMC’s base die technology for its next-generation high bandwidth memory (HBM).
04/19/2024, 04:00 PM UTC
SK Hynix and TSMC Team Up for HBM4 Development
SK hynix and TSMC announced early on Friday that they had signed a memorandum of understanding to collaborate on developing the next-generation HBM4 memory and advanced packaging technology. The initiative is designed to speed up the adoption of HBM4 memory and solidify SK hynix's and TSMC's leading positions in high-bandwidth memory and advanced processor applications. The primary focus of SK hynix's and TSMC's initial efforts will be to enhance the performance of the HBM4 stack's base die, which (if we put it very simply) acts like an ultra-wide interface between memory devices and host processors. With HBM4, SK hynix plans to use one of TSMC's advanced logic process technologies to build base dies to pack additional features and I/O pins within the confines of existing spatial constraints. This collaborative approach also enables SK hynix to customize HBM solutions to satisfy diverse customer performance and energy efficiency requirements. HBM has been touting custom HBM solutions for a while, and teaming up with TSMC will undoubtedly help with this. "TSMC and SK hynix have already established a strong partnership over the years. We've worked together in integrating the most advanced logic and state-of-the art HBM in providing the world's leading AI solutions, " said Dr. Kevin Zhang, Senior Vice President of TSMC's Business Development and Overseas Operations Office, and Deputy Co-Chief Operating Officer. "Looking ahead to the next-generation HBM4, we're confident that we will continue to work closely in delivering the best-integrated solutions to unlock new AI innovations for our common customers. " Furthermore, the collaboration extends to optimizing the integration of SK hynix's HBM with TSMC's CoWoS advanced packaging technology. CoWoS is among the most popular specialized 2.5D packaging process technologies for integrating logic chips and stacked HBM into a unified module. For now, it is expected that HBM4 memory will be integrated with logic processors using direct bonding. However, some of TSMC's customers might prefer to use an ultra-advanced version of CoWoS to integrate HBM4 with their processors. "We expect a strong partnership with TSMC to help accelerate our efforts for open collaboration with our customers and develop the industry's best-performing HBM4, " said Justin Kim, President and the Head of AI Infra at SK hynix. "With this cooperation in place, we will strengthen our market leadership as the total AI memory provider further by beefing up competitiveness in the space of the custom memory platform. "
04/19/2024, 01:00 PM UTC
TSMC发布2024年第一季度业绩:3nm收入份额大幅下降,但高性能计算份额上升。TSMC Posts Q1'24 Results: 3nm Revenue Share Drops Steeply, but HPC Share Rises
Taiwan Semiconductor Manufacturing Co. this week released its financial results for Q1 2024. Due to a rebound in demand for semiconductors, the company garned $18.87 billion in revenue for the quarter, which is up 12.9% year-over-year, but a decline of 3.8% quarter-over-quarter . The company says that in increase in demand for HPC processors (which includes processors for AI, PCs, and servers) drove its revenue rebound in Q1, but surprisingly, revenue share of TSMC's flagship N3 (3nm-class) process technology declined steeply quarter-over-quarter. "Our business in the first quarter was impacted by smartphone seasonality, partially offset by continued HPC-related demand," said Wendell Huang, senior VP and chief financial officer of TSMC. "Moving into second quarter 2024, we expect our business to be supported by strong demand for our industry-leading 3nm and 5nm technologies, partially offset by continued smartphone seasonality." In the first quarter of 2024, N3 wafer sales accounted for 9% of the foundry's revenue, down from 15% in Q4 2023, and up from 6% in Q3 2023. In terms of dollars, TSMC's 3nm production brought in around $1.698 billion, which is lower than $2.943 billion in the previous quarter. Meanwhile, TSMC's other advanced process technologies increased their revenue share: N5 (5 nm-class) accounted for 37% (up from 35%), and N7 (7 nm-class) commanded 19% (up from 17%). Though both remained relatively flat in terms of revenue, at $6.981 billion and $3.585 billion, respectively. Generally, advanced technology nodes (N7, N5, N3) generated 65% of TSMC's revenue (down 2% from Q4 2023), while the broader category of FinFET-based process technologies contributed 74% to the company's total wafer revenue (down 1% from the previous quarter). TSMC itself attributes the steep decline of N3's contribution to seasonally lower demand for smartphones in the first quarter as compared to the fourth quarter, which may indeed be the case as demand for iPhones typically slowdowns in Q1. Along those lines, there have also been reports about a drop in demand for the latest iPhones in China. But even if A17 Pro production volumes are down, Apple remains TSMC's lead customer for N3B, as the fab also produces their M3, M3 Pro, and M3 Max processors on the same node. These SoCs are larger in terms of die sizes and resulting costs, so their contribution to TSMC's revenue should be quite substantial. "Moving on to revenue contribution by platform. HPC increased 3% quarter-over-quarter to account for 46% of our first quarter revenue," said Huang. "Smartphone decreased 16% to account for 38%. IoT increased 5% to account for 6%. Automotive remained flat and accounted for 6%, and DCE increased 33% to account for 2%." Meanwhile, as demand for AI and HPC processors will continue to increase in the coming years, TSMC expects its HPC platform to keep increasing its share in its revenue going forward. "We expect several AI processors to be the strongest driver of our HPC platform growth and the largest contributor in terms of our overall incremental revenue growth in the next several years," said C.C. Wei, chief executive of TSMC.
03/27/2024, 02:32 AM UTC
TSMC expected to build 2nm line within year, SEMI says
Chip giants TSMC and Intel are expected to complete their 2-nanometer (nm) or under fabs within the year, industry association SEMI said.The Taiwanese chip giant is expected to secure a capacity of 67,500 8-inch wafers per month, while its US counterpart is expected to secure 202,500.Intel is expect ... ... http://thelec.net/news/articleView.html?idxno=4778
02/20/2024, 11:04 PM UTC
TSMC unveils new packaging platform for HPC, AI chips
Chip giant TSMC on Monday unveiled a new packaging platform for high-performance computing and AI chips that uses silicon photonics to improve the interconnect.Kevin Zhang, vice president of business development at TSMC, said during ISSCC 2024 __ IEEE International Solid-State Circuits Conference __ ... ... http://thelec.net/news/articleView.html?idxno=4731