Recent #SEMICONDUCTOR news in the semiconductor industry
12/29/2024, 10:15 AM UTC
无晶圆厂半导体封装测试公司LB半导体计划未来三年大幅增加海外收入Fabless LB Semicon aims to increase revenues from overseas
➀ 韩国半导体封装测试外包公司LB半导体计划在未来三年内大幅增加海外收入;➁ 公司计划通过减少对显示驱动IC(DDI)的依赖来实现这一目标;➂ LB半导体首席执行官南圣雄在首尔的一场新闻发布会上宣布了这一消息。➀ LB Semicon, a South Korean outsourced semiconductor assembly and test (OSAT) firm, plans to significantly increase its revenue from overseas within the next three years; ➁ The company aims to achieve this by reducing its reliance on display driver ICs (DDIs); ➂ LB Semicon CEO Namseong Kim announced this during a press conference in Seoul.
12/28/2024, 12:38 PM UTC
高通骁龙8精英2将采用台积电N3P工艺,三星疑似失去订单[News] Qualcomm’s Snapdragon 8 Elite 2 to Use TSMC’s N3P as Samsung Reportedly Lost Order
➀ 根据《自由时报》援引《金融时报》的报道,近年来,主要科技公司大幅增加资本支出,以获取人工智能芯片和建设数据中心。➁ 然而,最近全球数据中心投资放缓,引发了对人工智能热潮可能正在降温的担忧。➂ 高通的骁龙8精英2将采用台积电的N3P工艺,而据报道,三星失去了订单。➀ According to Liberty Times, citing Financial Times, major tech companies have significantly increased capital expenditures in recent years to acquire AI chips and build data centers. ➁ However, global investment in data centers has recently slowed, raising concerns about whether the AI boom might be losing steam. ➃ Qualcomm's Snapdragon 8 Elite 2 is set to use TSMC's N3P process, while Samsung reportedly lost orders.
12/27/2024, 02:00 PM UTC
英特尔共同平台代工厂联盟The Intel Common Platform Foundry Alliance
➀ 英特尔需要填满其晶圆厂以保持竞争力;➁ 台积电通过与日本和欧洲的新合作伙伴关系快速发展;➂ 英特尔可能从建立一个共同的代工厂平台联盟中受益。➀ Intel needs to fill its fabs to remain competitive; ➁ TSMC's rapid expansion with new partnerships; ➂ The potential of a Common Foundry Platform Alliance for Intel.
12/26/2024, 06:00 PM UTC
CEO访谈:Agileo Automation的Marc EngelCEO Interview: Marc Engel of Agileo Automation
➀ Agileo Automation专注于半导体生产设备和MES系统集成的软件解决方案;➁ Marc Engel拥有25年软件开发和设备设置工程经验;➂ 公司的A²ECF-SEMI框架帮助客户缩短上市时间;➃ Agileo Automation注重客户体验和服务。➀ Agileo Automation specializes in software solutions for semiconductor production equipment control and MES system integration; ➁ Marc Engel has 25 years of engineering experience in software development and equipment setup; ➂ The company's A²ECF-SEMI framework helps customers reduce time-to-market; ➃ Agileo Automation focuses on customer experience and service.
12/26/2024, 02:08 PM UTC
三星电子面临挑战,韩国考虑成立KSMC半导体公司TSMC dominance leads South Korea to consider its own semiconductor biz with KSMC
➀ 台积电在半导体行业的统治地位促使韩国考虑成立KSMC;➁ KSMC的目标是支持本地半导体行业发展;➂ 面临的挑战包括技术差距和人才短缺。➀ TSMC's dominance in the semiconductor industry prompts South Korea to consider establishing KSMC; ➁ KSMC aims to support local semiconductor industry development; ➂ Challenges include technological gaps and talent shortages.
12/25/2024, 04:01 AM UTC
Nanusens CEO 嘉约普·蒙特亚纳博士访谈:引领纳米机械系统技术的新篇章CEO Interview: Dr Josep Montanyà of Nanusens
➀ Nanusens 首席执行官嘉约普·蒙特亚纳博士讨论了公司专利的纳米机械系统(NEMS)技术及其在传感器和AI处理器中的应用;➁ 公司对射频可调电容器(RF DTC)的关注及其可能对智能手机技术产生革命性影响;➂ 公司在融资方面面临的挑战以及公司战略转向专注于射频产品的举措;➃ 真空晶体管在AI处理器中的潜力以及Nanusens未来的发展计划。➀ Nanusens CEO Dr. Josep Montanyà discusses the company's patented NEMS technology and its applications in sensors and AI processors; ➁ The company's focus on RF DTC and its potential to revolutionize smartphone technology; ➂ Challenges faced in fundraising and the company's strategic shift to focus on RF products; ➃ The potential of vacuum transistors in AI processors and Nanusens' plans for future development.
12/24/2024, 02:00 PM UTC
如果我是英特尔CEO,我会怎么做?What would you do if you were the CEO of Intel?
➀ 英特尔在技术宣布中转向更加透明的策略;➁ 英特尔PowerVia与台积电Super Power Rail之间的竞争;➂ 安迪·格鲁夫保持‘适度忧虑’的哲学。➀ Intel's shift to a more transparent strategy in technology announcements; ➁ The competition between Intel's PowerVia and TSMC's Super Power Rail; ➂ Andy Grove's philosophy of maintaining a 'healthy amount of paranoia'.
12/23/2024, 06:00 PM UTC
消费者内存增长不及AI,Micron业绩预警引发股价下跌Consumer memory slowing more than AI gaining
➀ Micron公布符合预期的收入和每股收益,但提供疲软的业绩指 引;➁ 驱动AI的内存需求激增,而消费者内存面临挑战;➂ 市场对Micron股价的担忧,由于AI和消费者内存增长之间的不平衡。➀ Micron reports revenue and EPS in line with expectations but provides weak guidance; ➁ AI-driven memory demand surges, while consumer memory struggles; ➂ Market concerns over Micron's stock due to the imbalance between AI and consumer memory growth.
12/22/2024, 12:34 PM UTC
Copilot+ 笔记本前景堪忧:AI PC '超级周期' 已成泡影,分析师如是说Bad news for Copilot+ laptops: AI PC 'supercycle' is a bust already claims one analyst
➀ 微软的 Copilot+ 笔记本等 AI 笔记本面临不确定的未来;➁ 美光 Q3 收益低于预期;➂ 预计的 AI PC 和智能手机 '超级周期' 并未实现➀ AI laptops like Microsoft's Copilot+ PCs face uncertain future; ➁ Micron's Q3 earnings weaker than expected; ➂ AI PC and smartphone 'supercycle' not materializing as projected
12/20/2024, 03:19 PM UTC
三星电子获得博通的大额HBM订单SK Hynix wins large order for HBM from Broadcom
➀ 三星电子从博通获得了一笔大额的HBM订单;➁ 这些芯片将被用于一家大型科技公司的AI计算芯片;➂ 这笔交易预计将增强三星电子在高性能内存市场的地位。➀ SK Hynix has secured a significant order for HBM from Broadcom; ➁ The chips will be used in an AI computing chip for a major technology company; ➂ The deal is expected to enhance SK Hynix's market position in high-performance memory.
12/20/2024, 02:00 PM UTC
半导体行业观察:Semicon Japan 展会、Rapidus 计划、2025 年 WFE 前景及中国影响If you believe in Hobbits you can believe in Rapidus
➀ Semicon Japan 展会人气旺盛但整体氛围低迷,因对支出减弱担忧;➁ 日本政府支持的 Rapidus 半导体计划被视为一场幻想;➂ 分析师正在调整 2025 年 WFE 的展望,因需求放缓;➃ 芯片设备公司正在游说中国销售,引发关于虚伪的质疑;➄ 股市不稳定,以及对新政府半导体政策的不确定性。➀ Semicon Japan exhibit high attendance but a muted tone due to concerns over spending; ➁ Rapidus, a Japanese government-backed semiconductor plan, is seen as a fantasy; ➂ Analysts are adjusting their 2025 WFE outlook due to slowing demand; ➃ Chip equipment companies are lobbying for China sales, raising questions about hypocrisy; ➄ Stock market instability and uncertainty about the new administration's semiconductor policies.
12/19/2024, 06:00 PM UTC
TSMC在IEDM上展示全球最先进的逻辑技术:2nm平台TSMC Unveils the World’s Most Advanced Logic Technology at IEDM
➀ TSMC在IEDM上发布了其2nm平台技术,具备用于AI、HPC和移动应用的GAA纳米片晶体管。➁ N2技术相比3nm节点在速度和功耗效率方面实现了显著提升。➂ TSMC的N2预计将于2025年下半年开始量产,而增强版N2P则预计于2026年投产。➀ TSMC unveiled its 2nm Platform Technology at IEDM, featuring GAA nanosheet transistors for AI, HPC, and mobile applications. ➁ The N2 technology achieves significant improvements in speed and power efficiency compared to the 3nm node. ➂ TSMC's N2 is scheduled for mass production in 2025, with an enhanced version, N2P, targeted for 2026.
12/18/2024, 02:00 PM UTC
机器学习与多物理场在3D设计和HBM中的应用ML and Multiphysics Corral 3D and HBM
➀ 3D设计与HBM在先进半导体系统中至关重要;➁ 大型系统设计需要多芯片封装;➂ 多物理场和机器学习对于优化性能和可靠性至关重要。➀ 3D design with HBM is critical for advanced semiconductor systems; ➁ Large system designs require multi-chiplet integration; ➂ Multiphysics and ML are essential for optimizing performance and reliability.
12/17/2024, 06:00 PM UTC
英特尔——众望所归的备选供应商?Intel – Everyone’s Favourite Second Source?
➀ 作者认为英特尔对行业成功并非至关重要,可以被替代;➁ 英特尔面临着来自竞争和x86市场衰退的挑战;➂ 作者建议将英特尔分成产品和代工实体,以改善专注度。➀ The author argues that Intel is not crucial to the industry's success and can be replaced; ➁ Intel faces challenges from competition and the decline of the x86 market; ➂ The author suggests splitting Intel into product and foundry entities to improve focus.
12/17/2024, 02:00 PM UTC
微控制器(MCU)现在正拥抱主流网络互连(NoC)MCUs Are Now Embracing Mainstream NoCs
➀ MCU设计从简单到复杂的转变,需要更复杂的互连技术如NoC;➁ 推动这一变化的因素,包括功耗降低、安全标准支持和多协议支持;➂ 设计的可扩展性重要性以及NoC架构如何支持这一点。➀ The shift in MCU design from simple to complex, requiring more sophisticated interconnects like NoC; ➁ The factors driving this change, including power reduction, safety standards, and support for multiple protocols; ➂ The importance of scalability in design and how NoC architectures can support this.
12/16/2024, 06:00 PM UTC
在IEDM上的特邀演讲:英特尔‘晶体管先生’展示令人难以置信的缩小晶体管——打破认知壁垒,勇往直前An Invited Talk at IDEM: Intel’s Mr. Transistor Presents The Incredible Shrinking Transistor – Shattering Perceived Barriers and Forging Ahead
➀ 贾希尼博士讨论了摩尔定律对半导体技术的影响以及能源效率的挑战。➁ 他强调了晶体管技术过去六十年来的发展历程。➂ 他提倡设计新的晶体管并加强跨领域的合作,以提升人工智能计算的能源效率。➀ Dr. Tahir Ghani discussed the impact of Moore's Law and the challenges of energy efficiency in semiconductor technology. ➁ He highlighted the evolution of transistor technology over six decades. ➂ He advocated for new transistor designs and collaborations to improve energy efficiency for AI computing.
12/16/2024, 07:39 AM UTC
由于混合键合的兴起,Park Systems的原子力显微镜(AFM)需求激增Park Systems’ AFM in high demand thanks to rise of hybrid bonding
➀ Park Systems的原 子力显微镜(AFM)在全球芯片制造商中需求旺盛;➁ 需求增长是由于混合键合过程中对AFM的必要性;➂ 该公司已向部分芯片制造商提供测试设备,并与其他公司进行洽谈。➀ Park Systems' atomic force microscope (AFM) is in high demand among global chipmakers; ➁ The demand is driven by the necessity of the AFM for hybrid bonding processes; ➂ The company has already supplied test equipment to some chipmakers and is in discussions with others.
12/12/2024, 06:00 PM UTC
TSMC的Mii在70届IEDM上发表宏图主题演讲IEDM Opens with a Big Picture Keynote from TSMC’s Yuh-Jier Mii
➀ 慧荣科技的Mii在70届IEDM上发表主题演讲,讨论了半导体行业的发展以及人工智能的影响,预测到2030年将达到一万亿美元的营收。➁ 他强调了逻辑技术的演变并介绍了CFET架构。➂ 他讨论了先进硅堆叠和封装技术的重要性。➀ TSMC's Mii presented a keynote at the 70th IEDM, discussing the semiconductor industry's growth and the impact of AI, predicting a revenue of one trillion dollars by 2030. ➁ He highlighted the evolution of logic technologies and introduced CFET architectures. ➂ He discussed the importance of advanced silicon stacking and packaging technologies.
12/12/2024, 04:00 PM UTC
半导体市场展望:2024年增长强劲,2025年或面临挑战Thanks for the Memories
➀ WSTS预测2024年半导体市场增长19%,主要得益于内存市场81%的增长;➁ 汽车和工业领域的公司正面临收入下降;➂ 英伟达因AI处理器收入增长135%,而内存公司也报告了显著的收入增长。➀ The WSTS forecasts a 19% growth in the semiconductor market for 2024, primarily driven by a 81% growth in the memory segment; ➁ Companies in the automotive and industrial sectors are experiencing revenue declines; ➂ Nvidia's revenue grew 135% due to AI processors, while memory companies reported substantial revenue gains.
12/12/2024, 02:00 PM UTC
芯片度量公司CEO访谈:Mikko UtriainenCEO Interview: Mikko Utriainen of Chipmetrics
➀ 芯片度量公司是一家专注于高宽比3D芯片(如3D NAND和3D DRAM)测量解决方案的芬兰公司;➁ 公司提供测试芯片以加速研发和工艺控制工作流程;➂ 公司的PillarHall测试芯片能够在高宽比腔体中精确测量薄膜特性。➀ Chipmetrics is a Finnish company specializing in metrology solutions for high aspect ratio 3D chips; ➁ They provide test chips to accelerate R&D and process control workflows; ➂ The company's PillarHall test chips enable precise measurements of film properties in high aspect ratio cavities.
12/12/2024, 08:04 AM UTC
LB半导体与LB Lusem合作封装面向AI数据中心使用的功率芯片LB Semicon and LB Lusem to package power chips aimed at AI data centers
➀ LB半导体及其子公司LB Lusem将提供面向AI数据中心使用的功率管理芯片的统包封装服务。➁ 该服务将包括晶圆正面和背面的加工。➂ 他们还将提供芯片的测试服务。➀ LB Semicon and its subsidiary LB Lusem will provide turn-key packaging services for power management chips used in AI data centers. ➁ The services will include processing for both the front and back sides of wafers. ➂ They will also offer testing for the chips.
12/10/2024, 07:23 AM UTC
3ALogics明年将推出十余款新型NFC芯片3ALogics to launch a dozen of new NFC chips next year
➀ 3ALogics计划明年推出大约十款基于NFC的无线充电芯片;➁ 公司从一百多个NFC芯片类别中选择了约10个类别进行聚焦;➂ 公司CEO Park Kwang-beom强调了成功战略选择的重要性。➀ 3ALogics is planning to launch around ten new NFC-based wireless charging chips next year; ➁ The company has selected to focus on approximately 10 categories of NFC chips from over a hundred; ➂ CEO Park Kwang-beom emphasized the strategic choice for success.
12/10/2024, 05:11 AM UTC
三星完成下一代400层NAND技术开发,2025年初推出1TB 400层TLC NANDSamsung finishes development on next-gen 400-layer NAND, 1Tb 400-layer TLC NAND in early 2025
➀ 三星电子成功开发出400层NAND技术,超越了SK海力士的321层NAND;➁ 400层NAND将在2025年下半年开始量产;➂ 三星计划在2025年2月的ISSCC 2025会议上详细介绍其新的1TB 400层TLC NAND。➀ Samsung Electronics has developed a 400-layer NAND technology, surpassing SK hynix's 321-layer NAND; ➁ The 400-layer NAND will enter mass production in the second half of 2025; ➂ Samsung plans to provide details on its new 1Tb 400-layer TLC NAND at the ISSCC 2025.
12/09/2024, 04:00 PM UTC
英特尔的问题在哪里?What is Wrong with Intel?
➀ 英特尔CEO帕特·基辛格的离职凸显了英特尔持续存在的问题;➁ 半导体技术和供应链控制在全球AI时代至关重要;➂ 英特尔董事会过去二十年的一系列糟糕决策影响了公司在半导体行业的竞争能力。➀ The departure of CEO Pat Gelsinger highlights ongoing issues at Intel; ➁ Semiconductor technology and supply chain control are crucial in the AI era; ➂ Intel's board of directors has made a series of poor decisions over the past two decades.
12/08/2024, 06:44 AM UTC
台积电2纳米芯片良率超预期,2025年量产TSMC's new 2nm chip yields are 'better than expected' in trial runs at its fab in Taiwan
➀ 台积电2纳米芯片在试验运行中的良率超出预期;➁ 预计2025年实现量产;➂ 2纳米节点引入纳米片架构,这是半导体行业的首次。➀ TSMC's 2nm chip yields exceed expectations in trial runs; ➁ Mass production is scheduled for 2025; ➂ The 2nm node introduces nanosheet architecture, a first in the semiconductor industry.
12/06/2024, 02:00 PM UTC
慧荣科技CEO专访:GP SinghCEO Interview: GP Singh from Ambient Scientific
➀ GP Singh共同创立了慧荣科技,致力于开发高性能、低功耗的可编程AI微处理器;➁ 公司的DigAn®技 术使得在无需依赖云的情况下实现超低功耗的AI应用成为可能;➂ GPX10处理器通过提供更好的性能和更低的功耗来解决当前AI硬件中的低效问题;➃ GP Singh强调半导体在改善人类生活方面的重要性。➀ GP Singh co-founded Ambient Scientific to develop high-performance, low-power AI microprocessors; ➁ The company's DigAn® technology enables ultra-low power AI applications without cloud dependency; ➂ GPX10 processor addresses inefficiencies in current AI hardware by offering better performance and lower power consumption; ➃ GP Singh emphasizes the importance of semiconductors in improving human lives.
12/06/2024, 01:23 PM UTC
被暗杀的联合健康CEO涉嫌使用AI拒绝患病者保险Assassinated UnitedHealthcare CEO allegedly used AI to deny sick people coverage
➀ 一项集体诉讼指控联合健康保险公司使用一个有缺陷的算法拒绝患者保险,由两名现已去世的个人提起。➁ 联合健康保险公司首席执行官布莱恩·汤普森本周在曼哈顿中城被杀,嫌疑人目前仍在逃。➂ 诉讼声称联合健康保险公司促使员工使用一个错误率约为90%的算法来拒绝保险。➀ A class-action lawsuit alleges that UnitedHealthcare uses a faulty algorithm to deny patient coverage, filed by two now-deceased individuals. ➁ UnitedHealthcare CEO Brain Thompson was killed in Midtown Manhattan earlier this week, and the suspect is currently on the run. ➂ The lawsuit claims UnitedHealthcare pushed employees to use an algorithm with a 90% error rate to deny coverage.
12/06/2024, 07:57 AM UTC
FOUP清洁设备厂商ISTE将拓展至PECVD设备领域FOUP cleaner ISTE to also expand into PECVD
➀ FOUP清洁设备制造商ISTE将拓展至等离子增强化学气相沉积(PECVD)设备领域;➁ 制造设备公司CEO赵昌炫在公司IPO前的会议上提及此事;➂ PECVD设备市场比FOUP市场大30倍。➀ FOUP cleaner manufacturer ISTE is expanding into plasma-enhanced chemical vapor deposition (PECVD) equipment; ➁ The CEO of the fab equipment maker, Cho Chang-hyun, mentioned this during the company’s conference; ➂ The PECVD equipment market is 30 times larger than the FOUP market.
12/05/2024, 09:27 AM UTC
LB半导体与DB Hitek共同开发功率芯片LB Semicon, DB Hitek to co-develop power chip
➀ LB半导体和DB Hitek宣布将合作开发用于高功率芯片的改进型重分布层(RDL);➁ RDL是一种金属层,用于连接芯片内部的I/O焊盘与外部世界;➂ 该合作旨在提升高功率芯片的性能和连接性。➀ LB Semicon and DB Hitek have announced a collaboration to co-develop an enhanced redistribution layer (RDL) for high-power chips; ➁ The RDL is a metal layer that connects the I/O pad within the chip to the outside world; ➂ This collaboration aims to improve the performance and connectivity of high-power chips.
12/04/2024, 02:00 PM UTC
与Ansys和Synopsys的大师班:多芯片设计最新进展A Master Class with Ansys and Synopsys, The Latest Advances in Multi-Die Design
➀ 2.5D和3D多芯片设计在主流应用中的兴起;➁ Synopsys和Ansys为多芯片项目提供的全面设计流程;➂ Marc Swinnen和Keith Lanier就技术知识和引人入胜的演示提供的专家见解。➀ The rise of 2.5D and 3D multi-die design in mainstream applications; ➁ Comprehensive design flows provided by Synopsys and Ansys for multi-die projects; ➂ Expert insights from Marc Swinnen and Keith Lanier on technical knowledge and engaging presentation.
12/04/2024, 07:22 AM UTC
伊斯普特亚斯放弃收购碳纳米管制造商JEIO的计划Isu Petasys gives up plan to buy JEIO
➀ 伊斯普特亚斯已放弃收购碳纳米管制造商JEIO的计划;➁ 此决定由伊斯普特亚斯集团董事长金相奉亲自下令;➂ 伊斯普特亚斯将放弃已支付的15.8亿韩元订金。➀ Isu Petasys has abandoned its plan to acquire carbon nanotube manufacturer JEIO; ➁ The decision was personally ordered by Kim Sang Beom, the chairman of Isu Group; ➂ Isu Petasys will forgo the 15.8 billion won deposit that was already paid.
12/04/2024, 07:22 AM UTC
赛迈科化学连续违规引发IPO前关注Samyang NC Chem’s serial violation draws concern before IPO
➀ 赛迈科化学,一家光刻胶公司,在过去六年里被罚款16次;➁ 由于该公司正在准备上市,这些罚款引起了关注;➂ 该公司在忠清南道公州市运营两家工厂。➀ Samyang NC Chem, a photoresist firm, has been fined 16 times in the past six years; ➁ The fines have raised concerns as the company is preparing for its IPO; ➂ The company operates two factories in Gongju City, South Choongcheong Province.
12/02/2024, 06:00 PM UTC
Breker如何帮助解决RISC-V认证问题How Breker is Helping to Solve the RISC-V Certification Problem
➀ RISC-V核心的兴起和认证的挑战;➁ Breker验证系统在认证过程中的作用;➂ 认证RISC-V ISA实现的复杂性以及RISC-V国际组织的努力。➀ The rise of RISC-V cores and the challenges of certification; ➁ The role of Breker Verification Systems in the certification process; ➂ The complexity of certifying RISC-V ISA implementations and the efforts of RISC-V International.
12/02/2024, 12:32 AM UTC
英特尔即使想出售其晶圆代工业务也不被允许Intel is not allowed to sell its Foundry business even if it wants to
➀ 英特尔面临股价下跌、裁员和CPU争议等重大挑战。➁ 公司计划剥离其晶圆代工部门,但由于与美国政府签署的786亿美元CHIPS法案协议,需要保持控制权。➂ 英特尔与CHIPS法案的协议中包含一项“控制权变更”限制,要求英特尔保留其晶圆代工业务50.1%的控制权或所有权。➀ Intel faces significant challenges, including stock price drops, layoffs, and CPU controversies. ➁ The company plans to spin off its Foundry division but will need to maintain control due to the $7.86 billion CHIPS Act deal with the U.S. government. ➃ Intel's CHIPS Act deal includes a 'change of control' restriction requiring Intel to retain 50.1% control or ownership of its Foundry business.
11/29/2024, 02:00 PM UTC
SMC二极管解决方案公司CEO柯云芝博士访谈:聚焦半导体技术创新与市场差异化CEO Interview: Dr. Yunji Corcoran of SMC Diode Solutions
➀ 柯云芝博士分享她在电力半导体领域的丰富经验;➁ SMC二极管解决方案专注于电力转换和效率解决方案;➂ 公司通过先进技术、客户服务和研发实现差异化。➀ Dr. Yunji Corcoran discusses her extensive experience in the power semiconductor field; ➁ SMC Diode Solutions' focus on power conversion and efficiency solutions; ➂ The company's differentiation through advanced technology, customer service, and R&D.
11/28/2024, 07:37 AM UTC
三星年末重组聚焦芯片部门应对“危机”Samsung year-end reshuffle focuses on chip division amid 'crisis'
➀ 三星年末重组重点聚焦于其芯片部门;➁ 公司面临所谓的“危机”,对部门未来的担忧日益加剧;➂ 三星宣布了新任的设备解决方案(DS)部门的总裁。➀ Samsung's year-end reshuffle is centered on its chip division; ➁ The company is facing what it describes as a crisis with growing concerns about the division's future; ➂ Samsung announced new presidents for the Device Solutions (DS) division.
11/26/2024, 08:08 AM UTC
DeepX将于年底接收DX-M1晶圆DeepX to receive DX-M1 wafer at end of year
➀ 深度X将于年底从三星代工厂接收其DX-M1芯片的生产晶圆;➁ 该芯片的多项目晶圆由设计公司高芯片和三星代工厂合作生产;➂ 深度X与高芯片签订了价值72亿韩元的量产协议。➀ DeepX is set to receive the production wafer for its DX-M1 chip from Samsung Foundry by the end of the year; ➁ The chip's multi-project wafers are being produced in collaboration with design house Gaonchips and Samsung Foundry; ➂ DeepX has entered into a 7.2 billion won production deal with Gaonchi.
11/26/2024, 08:08 AM UTC
三星在3D NAND光刻过程中成功大幅减少光刻胶使用Samsung succeeds in reducing use of PR during 3D NAND lithography
➀ 三星在其3D NAND闪存生产的光刻过程中显著减少了光刻胶的使用量。➁ 公司计划在未来的NAND生产中仅使用之前一半的光刻胶量。➂ 这项举措是三星提高制造效率和降低成本的努力之一。➀ Samsung has significantly reduced the amount of photoresist (PR) used in the photolithography process for its 3D NAND flash production. ➁ The company plans to use only half the amount of PR in future NAND production. ➂ The move is part of Samsung's effort to enhance its manufacturing efficiency and reduce costs.
11/25/2024, 06:00 PM UTC
一千个生产许可证意味着硅创成的PLL IP无处不在One Thousand Production Licenses Means Silicon Creations PLL IP is Everywhere
➀ 硅创成成功销售超过1,000个其Fractional-N PLL IP的生产许可证,取得显著成就;➁ 该技术提供灵活的频率合成能力,扩大了其应用潜力;➂ 在多个市场中部署,该IP展示了其在不同应用中的适应性。➀ Silicon Creations achieves a significant milestone with over 1,000 licenses sold for its Fractional-N PLL IP; ➁ The technology offers versatile frequency synthesis capabilities, expanding its application potential; ➂ Deployed in various markets, the IP demonstrates adaptability across different applications.
11/25/2024, 02:00 PM UTC
Cadence在汽车领域描绘广阔的蓝图Cadence Paints a Broad Canvas in Automotive
➀ Cadence推出一系列关于汽车设计趋势和挑战 的网络研讨会;➁ 预计汽车半导体市场到2029年将以11%的复合年增长率增长;➂ 汽车OEM和一级供应商正朝着垂直整合的趋势发展。➀ Cadence推出一系列关于汽车设计趋势和挑战的网络研讨会;➁ 预计汽车半导体市场到2029年将以11%的复合年增长率增长;➂ 汽车OEM和一级供应商正朝着垂直整合的趋势发展。
11/24/2024, 08:47 PM UTC
TCK赢得对YMC和Wycom的碳化硅(SiC)专利侵权诉讼TCK wins SiC patent lawsuits against YMC, Wycom
➀ TCK于周五宣布,其针对YMC和Wycom的碳化硅(SiC)圆环专利侵权诉讼获胜;➁ 这起诉讼是在四年前提出的,TCK当时也在寻求赔偿;➂ 这些专利涉及能够承受等离子体并具有特定物理特性的碳化硅结构。➀ TCK announced on Friday that it has won a patent infringement lawsuit against YMC and Wycom over silicon carbide (SiC) rings; ➁ The lawsuit was filed four years ago, and TCK was also seeking damages; ➂ The patents relate to SiC structures capable of withstanding plasma and possess certain physical characteristics.
11/24/2024, 08:47 PM UTC
韩国芯片存储公司Mdevice获准在科斯达克上市South Korea's Mdevice approved to go public
➀ 韩国芯片存储公司Mdevice已获得初步批准在科斯达克上市;➁ 公司于九月提出上市申请;➂ 上市依据的是一项特别规定,允许尚未盈利的公司上市。➀ South Korean chip storage company Mdevice has received preliminary approval to list on Kosdaq; ➁ The company filed for the listing in September; ➂ The listing is based on a special rule allowing unprofitable companies to go public.
11/23/2024, 10:19 AM UTC
您的免费试用Feed URL已于2024年11月23日星期六到期,请创建新的免费URL或升级订阅计划以获得持久URLYour trial feed URL has expired on Sat Nov 23, 2024
➀ 您的免费试用Feed URL已于2024年11月23日星期六到期;➁ 请免费创建新的URL或升级您的订阅计划;➂ 在<a href="https://www.mysitemapgenerator.com/rss/index.html">MySitemapGenerator.com</a>获取持久URL➀ Your trial feed URL has expired on Sat Nov 23, 2024; ➁ Please create a new one for free or upgrade your subscription plan; ➂ Get a persistent URL at <a href="https://www.mysitemapgenerator.com/rss/index.html">MySitemapGenerator.com</a>
11/22/2024, 02:00 PM UTC
L&T半导体技术公司CEO桑迪普·库马尔访谈:技术、创新与全球半导体市场CEO Interview: Sandeep Kumar of L&T Semiconductor Technologies Ltd.
➀ 桑迪普·库马尔分享了他丰富的技术经验和在高增长公司中的领导地位;➁ 慧荣 科技(L&T半导体技术公司)专注于设计和交付智能半导体设备以满足全球市场需求;➂ 公司致力于解决移动、工业和能源等领域的科技转型问题。➀ Sandeep Kumar discusses his extensive experience in technology and leadership positions in high-growth companies; ➁ L&T Semiconductor Technologies Ltd. focuses on designing smart semiconductor devices for global markets; ➂ The company addresses the technology transformation in sectors like mobility, industrial, and energy.
11/21/2024, 07:00 PM UTC
2025年半导体行业增长放缓Semiconductors Slowing in 2025
➀ WSTS报告2024年第三季度半导体市场增长1660亿美元,同比增长10.7%;➁ 英伟达因AI GPU的强劲表现成为最大半导体公司;➂ 2024年第四季度展望显示数据中心市场将推动Nvidia、美光和AMD的收入增长;➃ 2025年展望显示AI将推动服务器增长,但增速将放缓。➀ WSTS报告2024年第三季度半导体市场增长1660亿美元,同比增长10.7%;➁ 英伟达因AI GPU的强劲表现成为最大半导体公司;➂ 2024年第四季度展望显示数据中心市场将推动Nvidia、美光和AMD的收入增长;➃ 2025年展望显示AI将推动服务器增长,但增速将放缓。
11/21/2024, 07:37 AM UTC
YEST将为SK海力士提供用于HBM生产的eFurnaceYEST to supply eFurnace used in HBM production to SK Hynix
➀ YEST宣布将为SK海力士提供价值111.6亿韩元的eFurnace;➁ 该eFurnace用于净化晶圆并提高其电学特性;➂ 预计SK海力士将使用该设备进行HBM生产。➀ YEST announced that it will supply 11.16 billion won worth of eFurnace to SK Hynix; ➁ The eFurnace is used for purifying wafers and enhancing their electrical properties; ➂ SK Hynix is expected to use the equipment in HBM production.
11/21/2024, 07:37 AM UTC
Point2 Technology计划在2026年Kosdaq上市时估值超过10万亿韩元Point2 Technology expects over 1 trillion won in valuation when it lists on Kosdaq
➀ 无厂半导体公司Point2 Technology预计将在2026年在韩国证券交易所Kosdaq上市时实现超过1万亿韩元的估值;➁ 公司首席执行官Sean Park表示,他们预计2025年营收将超过100亿韩元,2026年和2027年分别将增至500亿韩元和1000亿韩元。➀ Point2 Technology, a fabless chip company, is aiming for a valuation of over 1 trillion won upon its listing on the Korean bourse Kosdaq in 2026; ➁ The company CEO, Sean Park, has stated that they expect revenues of over 10 billion won in 2025, increasing to 50 billion won and 100 billion won in 2026 and 2027 respectively.
11/20/2024, 06:00 PM UTC
在圣克拉拉硅基材料愿景峰会上相聚I will see you at the Substrate Vision Summit in Santa Clara
➀ 预计圣克拉拉硅基材料愿景峰会将吸引大量人群,聚焦半导体基板及其对3D IC性能的影响。 ➁ 将讨论人工智能在转型半导体价值链中的作用,强调其对规模、复杂性和高性能芯片需求的影响。 ➂ 主题演讲和圆桌讨论将探讨半导体材料、人工智能计算以及大学在技术发展中的作用。➀ The Substrate Vision Summit in Santa Clara is expected to attract a large crowd, focusing on semiconductor substrates and their impact on 3D IC performance. ➁ AI's role in transforming the semiconductor value chain will be discussed, highlighting its impact on scaling, complexity, and high-performance chip demands. ➂ Keynote speakers and panel discussions will explore advancements in semiconductor materials, AI computing, and the role of universities in technology development.
11/19/2024, 02:00 PM UTC
Alchip引领未来3D设计创新之路Alchip is Paving the Way to Future 3D Design Innovation
➀ Alchip在TSMC OIP生态系统论坛上展示;➁ 克服3D IC设计挑战;➂ 与Synopsys和TSMC合作进行3D设计创新➀ Alchip presents at TSMC OIP Ecosystem Forum; ➁ Challenges in 3D IC design overcome; ➂ Collaboration with Synopsys and TSMC for 3D design innovation
11/18/2024, 06:36 PM UTC
Telechips将聚焦中端汽车芯片市场Telechips to focus on mid-end auto chips
➀ Telechips正专注于为全球汽车市场提供中端SoC芯片;➁ 该策略是为了与高通和联发科等大对手竞争;➂ 李总强调了保持竞争力的必要性。➀ Telechips is focusing on mid-end SoCs for the global car market; ➁ The strategy is to compete with larger rivals like Qualcomm and MediaTek; ➂ Lee, the CEO, highlighted the importance of staying competitive.
11/18/2024, 02:00 PM UTC
基于ANN参数的GaN HEMT建模:提升模型扩展性GaN HEMT modeling with ANN parameters targets extensibility
➀ 探索使用ANN参数改进的ASM-HEMT混合模型进行GaN HEMT建模;➁ 解决了准确拟合宽范围S参数的挑战;➂ 基于ANN的参数拟合减少了测量与模拟之间的差异。➀ An improved ASM-HEMT hybrid model using ANN parameters is explored for GaN HEMT modeling; ➁ The challenge of accurate wide-range S-parameter fit is addressed; ➂ ANN-based parameter fitting reduces the discrepancy between measurements and simulations.
11/17/2024, 04:00 PM UTC
AMAT业绩尚可但展望不佳,2025年市场或更弱——中国及芯片法案的影响AMAT has OK Qtr but Mixed Outlook Means Weaker 2025 – China & Delays & CHIPS Act?
➀ AMAT本季度表现符合预期但展望疲软;➁ AI的强劲无法抵消市场疲软;➂ 监管和中国的风险增加不确定性。➀ AMAT's Qtr performance meets expectations but outlook is weak; ➁ AI strength can't offset market weakness; ➂ Regulatory and China risks add uncertainty.
11/15/2024, 04:00 PM UTC
芯片法案受阻?设备再本土化?订单取消与晶圆厂延迟More Headwinds-CHIPS Act Chop?- Chip Equip Re-Shore? Orders Canceled & Fab Delay
➀ 新政府可能削减和修改芯片法案;➁ 设备再本土化的趋势可能逆转;➂ 近期订单削减和晶圆厂延迟是负面信号;➃ SMIC的评论显示中国产能过剩;➄ 美国立法者质疑向中国销售设备的外移。➀ The CHIPS Act faces potential cuts and modifications under the new administration; ➁ The flow of equipment to reshore may be reversed; ➂ Recent order cuts and fab delays are negative signs; ➃ SMIC comments indicate overcapacity in China; ➄ US legislators question offshore equipment sales to China.
11/15/2024, 02:00 PM UTC
EdgeCortix首席执行官Sakyasingha Dasgupta博士访谈CEO Interview: Dr. Sakyasingha Dasgupta of EdgeCortix
➀ EdgeCortix专注于高效能AI处理;➁ 解决边缘AI性能和能效问题;➂ 在智能城市、工业、航空航天和安防行业中需求旺盛。➀ EdgeCortix is focused on energy-efficient AI processing; ➁ Solving AI performance and power inefficiency at the edge; ➂ Strong demand in smart cities, industrial, aerospace, and security industries.
11/15/2024, 07:44 AM UTC
Neosem凭借第五代SSD测试仪实现季度利润创新高Neosem posts record Q3 profit backed by Gen 5 SSD tester
➀ Neosem实现了有史以来最高的第三季度利润;➁ 第五代SSD测试仪的强劲销售对利润增长起到了关键作用;➁ 用于AI基础设施的DDR5服务器 DIMM模块测试仪需求也较高。➀ Neosem reported its highest third quarter profit ever; ➁ The strong sales of its Gen 5 SSD testers contributed to the profit; ➂ The demand for its DDR5 server DIMM module tester, used in AI infrastructure, was also high.
11/15/2024, 04:10 AM UTC
三星为Meta和微软开发定制HBM4解决方案,以对抗台积电和SK海力士Samsung working on 'custom HBM4' solutions for Meta and Microsoft, to fight TSMC and SK hynix
➀ 三星正在为Meta和微软开发定制化的HBM4内存解决方案;➁ 预计到2025年底开始量产;➂ 新的HBM4内存将提供2TB/s的带宽和高达48GB的容量。➀ Samsung is developing customized HBM4 memory solutions for Meta and Microsoft; ➁ Mass production is expected by the end of 2025; ➂ The new HBM4 memory will offer 2TB/sec bandwidth and up to 48GB capacities.
11/14/2024, 09:31 AM UTC
半导体设计公司SemiFive预期收入超过7000万美元,并筹备IPOSemiFive expects revenue to exceed $70 million as it eyes IPO
➀ 半导体设计公司SemiFive运营仅五年,预期收入将超过7000万美元;➁ 该公司是三星晶圆代工(Samsung Foundry)的设计解决方案合作伙伴(DSP);➂ SemiFive向无晶圆厂客户提供其自有的SoC设计平台。➀ SemiFive is expected to exceed $70 million in revenue after only five years of operation; ➁ The company is a Design Solution Partner (DSP) to Samsung Foundry; ➂ SemiFive offers its own SoC design platform to its fabless customers.
11/13/2024, 02:00 PM UTC
Tier1 在汽车AI领域拓展角色的关注Tier1 Eye on Expanding Role in Automotive AI
➀ 汽车市场的变革影响了供应链,尤其是Tier1供应商。 ➁ 汽车系统中AI的集成正推动更统一的供应商平台。 ➂ 慧荣收购Quadric的GPNPU许可并与Quadric共同开发车载半导体,这对于慧荣来说在半导体设计领域是一个重要的步骤。➀ The modern automotive market's disruptions are affecting the supply chain, particularly Tier1 suppliers. ➁ The integration of AI in automotive systems is leading to more unified supplier platforms. ➃ DENSO's acquisition of Quadric's GPNPU license and co-development of in-vehicle semiconductors is a significant step in the semiconductor design game for DENSO.
11/11/2024, 06:00 PM UTC
构建100%基于Python的大规模SoC设计环境Build a 100% Python-based Design environment for Large SoC Designs
➀ 半导体行业中构建基于Python的设计环境的必要性;➁ Python在SoC设计中的优势;➂ Python在学术界和工业界的应用;➃ Defacto的SoC编译器和其Python API;➄ 使用Python进行RTL代码生成的案例研究。➀ The need for a Python-based design environment in the semiconductor industry; ➁ The advantages of Python in SoC design; ➂ Python's role in academia and industry; ➃ Defacto's SoC Compiler and its Python API; ➄ Case study on using Python for RTL code generation.
11/11/2024, 06:00 PM UTC
芯片研发项目寻求加速创新The Chips R&D Program Seeks to Accelerate Innovation
➀ 《芯片和科学法案》为半导体研发拨款110亿美元;➁ 该项目聚焦于包括先进封装和人工智能驱动设计在内的五个领域;➂ 各公司应立即申请资金机会。➀ The Chips and Science Act allocates $11 billion for semiconductor R&D; ➁ The program targets five areas including advanced packaging and AI-driven design; ➂ Companies should apply now for funding opportunities.
11/11/2024, 04:10 AM UTC
全球EUV光刻机领导者ASML遭遇全球IT故障,影响全球设施EUV lithography machine leader ASML struck by IT outage that affected its facilities globally
➀ ASML遭遇全球IT故障,影响其运营;➁ 故障影响了洁净室与供应商的沟通;➂ ASML对TSMC和英特尔等公司至关重要。➀ ASML experienced a global IT outage disrupting its operations; ➁ The outage affected clean rooms and communication with suppliers; ➂ ASML is crucial for companies like TSMC and Intel.
11/09/2024, 10:19 AM UTC
中美芯片战持续,中国芯片制造设备支出或将在2025年降至400亿美元以下 | TrendForce 新闻[News] China’s Chipmaking Equipment Spending Likely to Drop below USD 40 Billion in 2025 amid U.S. Tensions | TrendForce News
➀ 美国总统选举的影响以及中美持续的芯片战争可能使中国半导体设备支出在2025年降至400亿美元以下。➁ 预计这一紧张局势将影响全球半导体供应链。➂ 该情况凸显了国内半导体制造能力的重要性。➀ Concerns over the impact of the U.S. presidential election and the ongoing chip war between China and the U.S. are likely to decrease China's semiconductor equipment spending to below USD 40 billion in 2025. ➁ The tension is expected to affect the global semiconductor supply chain. ➃ The situation highlights the importance of domestic semiconductor manufacturing capabilities.
11/09/2024, 10:19 AM UTC
高通2024财年近50%的收入来自中国,本季度预计收入同比增长10% | TrendForce新闻[News] Qualcomm Derived Nearly 50% of FY24 Sales from China, Eyeing 10% QoQ Revenue Growth This Quarter | TrendForce News
➀ 高通公布了乐观的第一季度销售预测;➁ 其2024财年近50%的收入来自中国;➂ 预计本季度收入同比增长10%➀ Qualcomm reported an upbeat first-quarter sales forecast; ➁ nearly 50% of its FY24 sales derived from China; ➂ expecting a 10% quarter-over-quarter revenue growth this quarter
11/09/2024, 10:19 AM UTC
韩国劳动法规可能削弱其半导体竞争力 | TrendForce 新闻[News] South Korea’s Labor Regulations May Weaken its Semiconductor Competitiveness | TrendForce News
➀ 韩国半导体产业正面临来自台湾积极研发努力的日益增长的压力;➁ 根据《Business Korea》的报道,韩国的劳动法规可能削弱其在半导体领域的竞争力;➂ 产业内部的激烈竞争可能会影响全球半导体行业的格局。➀ South Korea's semiconductor industry is facing increasing pressure from Taiwan's aggressive R&D efforts; ➁ The report from Business Korea suggests that South Korea's labor regulations may weaken its competitiveness in the semiconductor sector; ➂ The intensifying competition in the industry may impact the global semiconductor landscape.
11/09/2024, 10:19 AM UTC
台积电下周或将停止向中国AI企业供应7nm及以下芯片 | 趋势力量新闻[News] TSMC Reportedly to Halt 7nm and Below Chip Shipments to China’s AI Firms Next Week | TrendForce News
➀ 台积电据报道将在下周停止向中国AI企业供应7nm及以下芯片;➁ 此举紧随之前通过代理向华为供应7nm芯片的争议之后;➂ 这种做法可能是对近期贸易紧张和地缘政治问题的回应。➀ TSMC is reportedly set to halt 7nm and below chip shipments to Chinese AI firms next week; ➁ This follows previous controversies involving the supply of 7nm chips to Huawei through proxies; ➂ The move is likely a response to recent trade tensions and geopolitical issues.
11/09/2024, 10:19 AM UTC
新消息:德克萨斯州州长计划访问台积电,提供激励以吸引投资 | TrendForce新闻[New] Texas Governor Reported to Visit TSMC, Offering Incentives to Attract Investment | TrendForce News
➀ 德克萨斯州州长计划访问台积电;➁ 提供激励措施以吸引投资;➂ 台积电成为各方积 极合作的对象。➀ Texas Governor is reported to visit TSMC; ➁ Offering incentives to attract investment; ➂ TSMC is the focus of active collaboration efforts.
11/09/2024, 10:19 AM UTC
中芯国际发布创纪录的第三季度收入,在产能过剩警告中谨慎扩张 | 集邦咨询新闻[News] SMIC Reports Record Q3 Revenue, Cautious on Expansion Amid Overcapacity Warnings | TrendForce News
➀ 中国领先的半导体代工厂中芯国际报告了第三季度的创纪录收入;➁ 公司在产能过剩的警告中保持谨慎扩张;➂ 该报告来自集邦咨询新闻。➀ SMIC, the leading Chinese semiconductor foundry, reported a record revenue for Q3; ➁ The company remains cautious on expansion amid overcapacity warnings; ➂ The report comes from TrendForce News.
11/09/2024, 10:19 AM UTC
三星计划将过时NAND设备出售给中国本地公司 | TrendForce 新闻[News] Samsung Reportedly Mulls to Offload Outdated NAND Equipment in China to Local Companies | TrendForce News
➀ 据报道,三星正在减少其晶圆厂和传统DRAM的生产;➁ 这家韩国半导体巨头据说正在考虑将过时的NAND设备出售给中国;➂ 据称,本地中国公司是潜在买家。➀ Samsung is reportedly reducing its foundry and legacy DRAM production; ➁ The South Korean semiconductor giant is considering selling outdated NAND equipment in China; ➂ Local Chinese companies are said to be potential buyers.
11/08/2024, 05:09 AM UTC
美国总统拜登和当选总统特朗普可能都将出席TSMC亚利桑那工厂开业典礼US President Biden, President-elect Trump could BOTH visit TSMC's Arizona fab opening ceremony
➀ 美国总统拜登和当选总统特朗普据传都将出席TSMC在亚利桑那州的新半导体工厂开业典礼;➁ TSMC对于苹果、AMD、英特尔和英伟达等美国科技巨头至关重要;➂ TSMC在亚利桑那州的新工厂对美国半导体产业具有重要意义。➀ US President Biden and President-elect Trump are rumored to attend TSMC's semiconductor fab opening in Arizona in December 2024; ➁ TSMC is crucial for US tech giants like Apple, AMD, Intel, and NVIDIA; ➂ TSMC's new Arizona fab is significant for the US semiconductor industry.
11/07/2024, 10:08 AM UTC
芯片与媒体获得台积电3纳米库Chips&Media receives TSMC’s 3nm library
➀ 韩国视频IP公司芯片与媒体宣布获得台积电的3纳米库;➁ 这将使得芯片与媒体的客户在签署合同前能够评估兼容的IP;➂ 这标志着两家公司之间的战略合作伙伴关系。➀ Chips&Media, a South Korean video IP firm, announced the acquisition of TSMC's 3nm library; ➁ This will allow Chips&Media's customers to assess compatible IPs before contract signing; ➂ The move signifies a strategic partnership between the two companies.
11/05/2024, 06:00 PM UTC
功能与安全、安全性和PPA验证的融合The Convergence of Functional with Safety, Security and PPA Verification
➀ 讨论了形式验证的挑战,强调使形式验证可访问和可扩展的重要性。➁ 介绍了Axiomise通过咨询、培训和自动化IP使形式验证变得正常的方法。➂ 介绍了《实用形式验证入门》课程,重点是使形式验证易于理解和应用。➀ The challenges of formal verification are discussed, highlighting the importance of making formal verification accessible and scalable. ➁ Axiomise's approach to making formal verification normal through consulting, training, and automated IP is presented. ➂ The Essential Introduction to Practical Formal Verification course is introduced, focusing on making formal verification easy to understand and apply.
11/05/2024, 04:00 PM UTC
系统内测试的新产品:Siemens的Tessent In-System Test软件New Product for In-System Test
➀ 西门子推出了新的系统内测试控制器ISTC,与Tessent Streaming Scan Network软件配合,实现确定性系统内测试。➁ ISTC支持所有Tessent MissionMode功能,可针对特定的单元内部和老化缺陷进行定位。➂ 新产品旨在解决安全和安全领域以及网络和数据中心的质量问题。➀ Siemens has introduced a new In-System Test Controller, the ISTC, to enable deterministic in-system testing with the Tessent Streaming Scan Network software. ➁ The ISTC supports all Tessent MissionMode features and can target specific cell-internal and aging defects. ➂ The new product addresses challenges in safety and security, as well as quality in networking and data centers.
11/05/2024, 10:32 AM UTC
SK海力士将在2025年初提供HBM3E 16H样品SK Hynix to provide HBM3E 16H samples in early 2025
➀ SK海力士计划在2025年初提供其第五代高带宽内存(HBM)HBM3E 16H的样品;➁ HBM3E 16H采用16片堆叠的DRAM芯片 ,并将继续使用大规模再流成型技术;➂ SK海力士首席执行官Kwak Noh-jung在SK集团主办的活动上宣布了这一消息。➀ SK Hynix is scheduled to provide samples of its Gen 5 HBM, HBM3E 16H, in early 2025; ➁ The HBM3E 16H features 16 stacked DRAM dies and will utilize mass reflow molding technology; ➂ SK Hynix CEO Kwak Noh-jung announced this at an event hosted by SK Group.
11/03/2024, 04:00 PM UTC
KLAC:业绩稳健,前景不明,中国因素成关键KLAC – OK Qtr/Guide – Slow Growth – 2025 Leading Edge Offset by China – Mask Mash
➀ KLAC报告稳健的季度业绩,增长温和;➁ 中国经济放缓带来不确定性;➂ TSMC持续占据投资主导地位;➃ KLA在光罩检查市场面临挑战。➀ KLA reports a solid quarter with modest growth; ➁ China's economic moderation poses uncertainty; ➂ TSMC's spending dominance continues; ➃ KLA faces challenges in reticle inspection market.
11/01/2024, 01:00 PM UTC
OpenLight CEO访谈:Adam Carter博士CEO Interview: Dr. Adam Carter of OpenLight
➀ OpenLight是全球首个集成了激光的开放硅光子平台;➁ 解决了硅光子在设计与部署中的挑战;➂ 在数据中心、AI/ML和高性能计算等领域表现突出;➃ 专注于光子组件的规模化和效率;➃ 通过直接将活性组件集成到硅中实现差异化;➅ 开发先进的PIC并扩展产品线,包括1.6Tb产品。➀ OpenLight is the first open silicon photonics platform with integrated lasers; ➁ Solves challenges in design, manufacturing, and deployment of silicon photonics; ➂ Strongest application areas include data centers, AI/ML, and HPC; ➃ Focuses on scalability and efficiency for photonic components; ➄ Differentiates by enabling direct integration of active components into silicon; ➅ Developing advanced PICs and expanding portfolio with 1.6Tb products.
11/01/2024, 07:45 AM UTC
中国崛起的显示产业可能因国家安全担忧面临美国制裁[News] China’s Rising Display Industry May Face U.S. Sanctions Due to National Security Concerns
➀ 中国显示产业正迅速扩大产能;➁ 此扩张引发了美国的国家安全担忧;➂ 美国可能因这些担忧对中国显示产业实施制裁。➀ China's display industry is experiencing rapid expansion in production capacity; ➁ The expansion has raised national security concerns in the U.S.; ➂ The U.S. may impose sanctions on China's display industry due to these concerns.
11/01/2024, 02:44 AM UTC
三星提升关键HBM供应,暗示与台积电合作[News] Samsung Advances Key HBM Supply, Hints at TSMC Partnership
➀ 三星电子在第三季度财报中宣布,尽管利润大幅下降,但盈利情况超出预期。➁ 公司强调其在高带宽内存(HBM)供应方面的进步。➂ 三星暗示可能将与台积电进行合作,表明在半导体行业中进行战略布局的意图。➀ Samsung Electronics reported a better-than-expected profit in its third-quarter earnings, despite a significant decline in profits. ➁ The company highlighted its advancement in high-bandwidth memory (HBM) supply. ➂ Samsung hinted at a potential partnership with TSMC, indicating a strategic move in the semiconductor industry.
11/01/2024, 01:00 AM UTC
全球首款:中国新芯片成功实现量产![News] World’s First: China’s New Chip Successfully Mass-Produced!
➀ 中国国家原子能机构核安全与环境保护工程技术研究院成功量产了一款新型芯片,实现了重大突破。➁ 这标志着中国半导体产业的重大进步。➂ 新型芯片将提升国家在技术领域的实力和在全球市场的竞争力。➀ The Nuclear Safety and Environmental Engineering Technology Institute at the China National Nuclear Corporation (CNNC) has achieved a significant milestone by successfully mass-producing a new chip. ➁ This breakthrough represents a major advancement in China's semiconductor industry. ➂ The new chip is set to enhance the nation's technological capabilities and competitiveness in the global market.
10/30/2024, 11:30 PM UTC
英特尔CEO失误惹怒台积电,导致取消40%折扣[News] Intel CEO’s Missteps Reportedly offended TSMC, Leading to a Canceled 40% Discount
➀ 据报道,英特尔CEO的行为引起台积电不满;➁ 这一事件导致台积电取消了40%的折扣;➂ 折扣取消发生在英特尔即将发布的第三季度财务报告之前。➀ Intel's CEO reportedly made a misstep that offended TSMC; ➁ The incident led to TSMC canceling a 40% discount; ➃ The discount cancellation is ahead of Intel's Q3 financial announcement.
10/30/2024, 03:59 AM UTC
台积电收购传闻之下,群创否认南台湾工厂出售计划[News] Innolux Denies Plant Sale Plans in Southern Taiwan amid TSMC’s Purchase Rumors
➀ 在台积电可能收购的传闻中,群创否认了其在南台湾工厂的出售计划。➁ 人工智能的繁荣增加了先进封装生产能力的需求,推动了行业增长。➂ 该情况凸显了半导体制造工厂在台湾的战略重要性。➀ Innolux denies plans to sell its plant in southern Taiwan amidst rumors of TSMC's potential purchase. ➁ The AI boom has increased demand for advanced packaging production capacity, driving industry growth. ➂ The situation highlights the strategic importance of semiconductor manufacturing facilities in Taiwan.
10/30/2024, 03:40 AM UTC
台积电疑似因华为关系切断芯片供应商;比特大陆供应受威胁[News] TSMC Reportedly Cuts Off Chip Clients Over Huawei Links; Bitmain Supply at Risk
➀ 据报道,台积电已停止向至少两家芯片开发商发货;➁ 这一举措疑似是为了规避美国出口限制;➂ 比特大陆的供应据称因此受到威胁。➀ TSMC has reportedly stopped shipments to at least two chip developers; ➁ The move is suspected to be due to their attempts to bypass U.S. export restrictions; ➂ Bitmain's supply is reportedly at risk due to this action.
10/29/2024, 03:59 PM UTC
群創整廠出售…不在計劃中群創整廠出售…不在計劃中
➀ 據報,AI對半導體的需求強烈,導致先進製造產能出現短缺。➁ 市場傳言台積電有意向收購群創的整廠,目標是南科七廠。➁ 群創發言人昨日強調,出售整廠並非其計劃。➀ AI's strong demand for semiconductors has led to a shortage in advanced manufacturing capacity.➁ There are rumors that TSMC is interested in purchasing an entire factory from Chunghwa Picture Tubes, targeting the seventh factory in South Science Park.➃ Chunghwa Picture Tubes' spokesperson emphasized yesterday that the sale of the entire factory is not on their agenda.
10/29/2024, 07:38 AM UTC
苹果据悉正在开发M5芯片,推动台积电先进制程订单增加[News] Apple is Reportedly Developing M5 Chips, Boosting Orders for TSMC’s Advanced Processes
➀ 苹果据悉正在开发M5芯片;➁ 这一举措正在推动台积电先进制程的订单增加;➂ 行业正关注苹果即将推出配备自研M4芯片的新产品。➀ Apple is reportedly developing M5 chips; ➁ The move is boosting orders for TSMC's advanced processes; ➂ The industry is focusing on the launch of Apple's new products featuring the self-developed M4 chip.
10/29/2024, 03:15 AM UTC
台积电与南亚科技公布台湾新先进制程及CoWoS封装扩展计划[New] TSMC and SPIL Unveil New Advanced Node and CoWoS Expansion Efforts in Taiwan
➀ 台积电与南亚科技正在台湾提升其半导体制造能力;➁ 高雄的新2nm晶圆厂将是这些努力的关键设施;➂ 扩展重点在于先进制程和CoWoS封装技术。➀ TSMC and SPIL are advancing their semiconductor manufacturing capabilities in Taiwan; ➁ The new 2nm fab in Kaohsiung will be a key facility for these efforts; ➂ The expansion focuses on advanced node and CoWoS packaging technologies.
10/28/2024, 11:30 PM UTC
高通争议 凸显科技巨头定制硅设计对ARM的挑战[News] Qualcomm Dispute Highlights Arm’s Challenge Posed by Tech Giants’ Custom Silicon Designs
➀ 高通与Arm Holdings的争议受到关注;➁ Arm与高通的架构许可协议被终止;➂ 争议反映了科技巨头定制硅设计对Arm提出的挑战。➀ Qualcomm's dispute with Arm Holdings is highlighted; ➁ Arm's architectural license agreement with Qualcomm is terminated; ➂ The dispute reflects the challenges posed by tech giants' custom silicon designs to Arm.
10/28/2024, 06:35 AM UTC
三星新型突破性内存技术:融合RAM和SSD的Selector-Only MemorySamsung's new and potentially breakthrough memory technology blends RAM and SSDs
➀ 三星正在开发一种名为Selector-Only Memory (SOM)的新型内存,它结合了RAM和SSD的特性。➁ 研究人员利用先进的计算机建模将4000种潜在材料组合缩小到18个主要候选者。➂ 这些发现将在12月的国际电子器件会议上展示。➀ Samsung is developing Selector-Only Memory (SOM), a new type of memory that combines the properties of RAM and SSDs. ➁ Researchers used advanced computer modeling to narrow down 4,000 potential material combinations to 18 prime candidates. ➂ The findings will be presented at the International Electron Devices Meeting in December.
10/28/2024, 02:30 AM UTC
台积电疑似因华为AI处理器中发现的芯片停止向中国芯片设计公司Sophgo供货[News] TSMC Reportedly Halts Shipments to Chinese Firm Sophgo After Chip Found in Huawei Processor
➀ 据报道,台积电已停止向Sophgo供货;➁ 据称,台积电生产的芯片被发现在华为的AI处理器中;➂ 停止供货可能是因为该芯片出现在华为处理器中➀ TSMC has reportedly stopped shipments to Sophgo; ➁ A chip manufactured by TSMC was found in a Huawei AI processor; ➂ The halt in shipments is likely due to the chip's presence in the Huawei processor
10/28/2024, 12:30 AM UTC
Rapidus计划在2nm量产顺利推进的情况下建设第二座1.4nm晶圆厂[News] Rapidus Plans Second Plant for 1.4nm Process if 2nm Mass Production Proceeds Smoothly
➀ Rapidus正计划建设第二座用于1.4nm工艺晶圆的工厂;➁ 第一座工厂正在北海道千岁市建设;➂ 目标是在2027年实现2nm晶圆的大规模生产。➀ Rapidus is planning to build a second factory for 1.4nm process wafers; ➁ The first factory is being constructed in Chitose City, Hokkaido; ➂ The goal is to mass-produce 2nm wafers in 2027.
10/27/2024, 11:30 PM UTC
德国多家半导体工厂建设遭遇挫折[News] Construction of Multiple Semiconductor Plants in Germany Faces Setbacks
➀ 德国多家半导体工厂的建设遭遇困难;➁ 德国汽车零部件供应商ZF Friedrichshafen AG计划退出一个价值30亿美元的合资项目;➂ 该合资项目涉及美国公司,旨在投资于德国半导体制造业。➀ The construction of multiple semiconductor plants in Germany is facing setbacks; ➁ German automotive parts supplier ZF Friedrichshafen AG is planning to withdraw from a 3 billion USD joint project; ➂ The joint project involved U.S. companies and was intended to invest in German semiconductor manufacturing.
10/27/2024, 03:00 PM UTC
LRCX:季度业绩稳健,前景展望平缓LRCX- Coulda been worse but wasn’t so relief rally- Flattish is better than down
➀ 拉姆研究公司(LRCX)发布的季度收入和每股收益(EPS)均略高于市场预期。 ➁ 公司对未来季度的展望符合预期,显示出缓慢但稳定的复苏。 ➂ 尽管对中国科技支出放缓的担忧,但拉姆研究公司对科技投资的关注抵消了这一下降。➀ Lam Research reported better-than-expected revenue and EPS, slightly beating market estimates. ➁ The company's guidance for the next quarter is in line with expectations, showing a slow but steady recovery. ➂ Despite concerns about China's slowing tech spending, Lam Research's focus on tech investments is offsetting the decline.
10/25/2024, 01:00 PM UTC
Point2科技CEO访谈:Sean ParkCEO Interview: Sean Park of Point2 Technology
➀ Sean Park探讨了Point2科技致力于为AI/ML数据中心提供超低功耗、低延迟互连解决方案的使命;➁ 他解释了在AI/ML数据中心中扩大带宽和维护效率的挑战;➂ 文章探讨了公司e-Tube技术的潜力,以及它可能对互连技术的革命性影响。➀ Sean Park discusses Point2 Technology's mission to provide ultra-low power, low-latency interconnect solutions for AI/ML datacenters; ➁ He explains the challenges of scaling bandwidth and maintaining efficiency in AI/ML datacenters; ➂ The article explores the company's e-Tube technology and its potential to revolutionize interconnect technology.
10/24/2024, 09:00 PM UTC
人工智能半导体市场分析AI Semiconductor Market
➀ 人工智能正在推动半导体行业的显著增长;➁ 2024年AI IC市场预计将达到1100亿美元;➂ 英伟达在AI IC市场中占据领先地位,预计收入将达到960亿美元。➀ AI is driving significant growth in the semiconductor industry; ➁ The AI IC market is expected to reach $110 billion in 2024; ➂ NVIDIA leads the AI IC market with a projected revenue of $96 billion.
10/24/2024, 05:00 PM UTC
RISC-V与开源功能验证挑战The RISC-V and Open-Source Functional Verification Challenge
➀ RISC-V与开源功能验证挑战探讨了RISC-V和ARM核心验证过程的差异。 ➁ 讨论了选择可靠IP供应商的重要性以及软件支持对验证的影响。 ➂ 强调了RISC-V配置文件在简化验证和实现软件兼容性方面的作用。➀ The RISC-V and open-source functional verification challenge highlights the differences in verification processes between RISC-V and ARM cores. ➁ The importance of selecting a reliable IP vendor and the impact of software support on verification is discussed. ➂ The role of RISC-V profiles in simplifying verification and enabling software compatibility is emphasized.
10/24/2024, 12:00 PM UTC
ASML首席执行官:美国出口限制下,中国可能仍能生产5nm和3nm芯片[News] ASML CEO: China Might be Able to Produce 5nm and 3nm Chips amid U.S. Export Restrictions
➀ 荷兰芯片设备制造商ASML宣布下调其2025年订单预测,导致其股价大幅下跌。➁ ASML首席执行官表示,尽管面临美国出口限制,中国仍有可能生产5nm和3nm芯片。➂ 这反映了半导体行业中的持续竞争和技术进步。➀ ASML, the Dutch chip equipment manufacturer, has announced a reduction in its 2025 order forecast, causing a significant drop in its share price. ➁ The CEO of ASML suggests that despite U.S. export restrictions, China may still be capable of producing 5nm and 3nm chips. ➂ The situation reflects the ongoing competition and technological advancements in the semiconductor industry.
10/24/2024, 12:00 PM UTC
谷歌或采用台积电N3E工艺替代2nm制造Tensor G6[News] Google Reportedly Adopts TSMC’s N3P Process instead of 2nm for Tensor G6
➀ 据传闻,谷歌将采用台积电的N3E工艺制造Tensor G5;➁ 报告还明确指出,谷歌没有选择为Tensor G6使用2nm技术;➂ 这一举措可能影响AI和智能手机芯片市场的竞 争。➀ Google is rumored to switch to TSMC's N3E process for Tensor G5; ➁ The report also clarifies that Google has chosen not to use 2nm technology for Tensor G6; ➂ The move could impact the competition in the AI and smartphone chip markets.
10/24/2024, 12:00 PM UTC
三星电子退出LED业务[News] Samsung Electronics Exits LED Business
➀ 三星电子最近开始重组其业务;➁ 重组内容包括退出LED业务;➂ 这一决定由中国中央电视台财经频道发布的报告中宣布。➀ Samsung Electronics has recently started restructuring its business; ➁ The restructuring includes exiting the LED business; ➂ The decision was announced in a report by China's CCTV Finance.
10/24/2024, 11:30 AM UTC
被翻译的中文标题SK Hynix CEO says HBM 3E 12H production on track
SK Hynix CEO 坑 Noh-jung 确认制造 HBM3E 12H sản, 行正如预料;拒绝 NVIDIA 的 AI 助手问题会造成延迟的猜想SK Hynix CEO Kwak Noh-jung confirmed production plans for HBM3E 12H, indirectly denying rumors of delays, while rejecting concerns over Nvidia's AI accelerator issues.
10/23/2024, 01:00 PM UTC
塑造明天的半导体技术——IEDM 2024前瞻Shaping Tomorrow’s Semiconductor Technology IEDM 2024
➀ IEDM 2024将于12月7日至11日在旧金山举行;➁ 关注AI、台积电的2nm逻辑平台和英特尔极端缩放晶体管;➂ 回顾IEDM 70年历史,并探讨先进封装、功率晶体管和脑机接口。➀ The IEDM 2024 conference will be held in San Francisco from December 7th to 11th; ➁ Focus on AI, TSMC's 2nm Logic Platform, and Intel's extreme scaled transistors; ➂ Reflect on 70 years of IEDM history and explore advanced packaging, power transistors, and brain/electronics interfaces.
10/23/2024, 05:02 AM UTC
研究人员创造新型芯片设计:弃用电能,改用声波和光Researchers create new microchip design that abandons electricity for sound and light
➀ 研究人员开发了一种新型芯片设计,采用声波和光而非电能;➁ 该技术利用受激布里渊散射在芯片表面生成和控制声波;➂ 该研究可能推动5G/6G网络、传感器和先进通信技术的发展。➀ Researchers have developed a new microchip design that uses sound and light instead of electricity; ➁ The technology utilizes stimulated Brillouin scattering to generate and control sound waves on a microchip surface; ➂ The research could lead to advancements in 5G/6G networks, sensors, and advanced communications technology.
10/23/2024, 02:11 AM UTC
200名三星半导体工程师申请加入韩国竞争对手SK海力士的工作200 semiconductor engineers working for Samsung applied for jobs with rival SK hynix
➀ 200名三星半导体工程师申请加入SK海力士; ➁ 三星芯片业务增长担忧加剧;➂ SK海力士扩大了招募经验不足五年的工程师的项目,吸引了大量三星工程师。➀ 200 Samsung semiconductor engineers apply for jobs at SK hynix; ➁ Concerns over Samsung's chip business are growing; ➂ SK hynix has expanded a program to recruit engineers with less than five years of experience, attracting many Samsung engineers.
10/18/2024, 01:00 PM UTC
SiLC Technologies 首席执行官 Dr. Mehdi Asghari 访谈:硅光子创新与机器视觉CEO Interview: Dr. Mehdi Asghari of SiLC Technologies
➀ Mehdi Asghari 博士分享了他的创业经历以及 SiLC Technologies 致力于实现类似人类的机器视觉的使命;➁ SiLC 首款完全集成的相干激光雷达芯片及其在机器人、移动性和周界安全等领域的应用;➂ 在人工智能和机器人行业中的挑战和机遇,重点介绍了相干成像对于机器自主性的重要性。➀ Dr. Mehdi Asghari discusses his entrepreneurial journey and SiLC Technologies' mission to enable machine vision similar to humans; ➁ SiLC's first fully integrated coherent LiDAR chip and its applications in robotics, mobility, and perimeter security; ➂ The challenges and opportunities in the AI and robotics industries, with a focus on the importance of coherent imaging for machine autonomy.
10/17/2024, 01:00 PM UTC
半导体设备老化带来的风险The Perils of Aging, From a Semiconductor Device Perspective
➀ 对英特尔13代和14代处理器近期问题的分析;➁ 确定根本原因为Vmin偏移不稳定问题;➂ 精确分析工具在防止老化退化中的重要性。➀ An analysis of the recent issues with Intel's 13th and 14th Gen processors; ➁ Root cause identified as Vmin Shift Instability; ➂ Importance of precise analysis tools in preventing aging degradation.
10/17/2024, 12:28 AM UTC
高通等待2024年美国大选结果后再对英特尔采取大动作Qualcomm waiting for 2024 US election results before making its big move on Intel
➀ 高通正在等待2024年美国总统大选的结果,然后再对英特尔采取行动;➁ 公司正在考虑收购英特尔,这可能引发反垄断担忧;➂ 高通和英特尔都是半导体行业的主要玩家,如果合并,可能成为历史上最大的交易之一。➀ Qualcomm is waiting for the 2024 US presidential election results before making a move on Intel; ➁ The company is considering an acquisition of Intel, which could lead to antitrust concerns; ➂ The potential merger of Qualcomm and Intel, both major players in the semiconductor industry, could be one of the biggest deals in history.
10/16/2024, 01:00 PM UTC
移动LLM不只是技术。实际应用案例才是关键Mobile LLMs Aren’t Just About Technology. Realistic Use Cases Matter
➀ 谷歌正在探索在移动设备上运行大型语言模型(LLM)的可行性;➁ 谷歌在移动设备上优化LLM的技术;➂ 移动设备上LLM实际应用案例的重要性➀ Arm is exploring the feasibility of running LLMs on mobile devices; ➁ Arm's optimization techniques for LLMs on mobile; ➂ The importance of practical use cases for LLMs in mobile devices
10/15/2024, 05:00 PM UTC
电子束探测:7nm以下集成电路安全分析的新守护者Electron Beam Probing: The New Sheriff in Town for Security Analyzing of Sub- 7nm ICs with Backside PDN
➀ 电子束探测(EBP)已成为分析7nm以下集成电路安全性的有效方法。 ➁ 它比光学探测具有更高的空间分辨率,适用于7nm以下的倒装芯片和先进的三维架构。 ➂ 研究重点在于EBP在故障分析和硬件保证中的重要性。➀ Electron Beam Probing (EBP) has become a powerful method for security analyzing of sub-7nm ICs. ➁ It offers better spatial resolution than optical probing and is suitable for sub-7nm flip-chips and advanced 3D architectures. ➂ The research focuses on the importance of EBP in failure analysis and hardware assurance.
10/15/2024, 01:00 PM UTC
现代IC设计中非传统形状的阻抗提取导航Navigating resistance extraction for the unconventional shapes of modern IC designs
➀ 由于物联网、图像传感器和3DICs的兴起,IC设计的复杂性;➁ 非曼哈顿布线和非常规形状的阻抗提取的挑战;➂ 准确的阻抗提取对于设计可靠性和演进的破碎技术的重要性。➀ The complexity of IC design due to the rise of IoT, image sensors, and 3DICs; ➁ Challenges in resistance extraction for non-Manhattan routing and unconventional shapes; ➂ The importance of accurate resistance extraction for design reliability and evolving fracturing techniques.
10/14/2024, 05:00 PM UTC
Alchip科技再创纪录Alchip Technologies Sets Another Record
➀ Alchip科技在2024年第二季度实现了收入、运营收入和净收入的创纪录增长;➁ AI芯片出货量和5nm AI加速器的销售额对增长贡献显著;➂ Alchip对高性能ASIC和SoC设计的专注继续带来回报。➀ Alchip Technologies has achieved record revenue, operating income, and net income in Q2 2024; ➁ Revenue from AI ASIC shipments and 5nm AI accelerators contributed significantly to the growth; ➂ Alchip's focus on high-performance ASICs and SoC design continues to pay off.
10/11/2024, 01:00 PM UTC
LUBIS EDA CEO 托比亚斯·路德维希访谈CEO Interview: Tobias Ludwig of LUBIS EDA
➀ 托比亚斯·路德维希讨论了他电子设计自动化领域的旅程以及LUBIS EDA的创立;➁ 传统形式化验证过程中存在的挑战和低效性;➂ LUBIS EDA使用AI技术自动化和简化形式化验证的创新方法。➀ Tobias Ludwig discusses his journey in electronic design automation and the founding of LUBIS EDA; ➁ The challenges and inefficiencies in traditional formal verification processes; ➂ LUBIS EDA's innovative approach to automating and simplifying formal verification using AI techniques.
10/09/2024, 05:00 PM UTC
2024年前沿技术趋势导航Navigating Frontier Technology Trends in 2024
➀ 2023年科技股权投资下降,生成式AI崛起;➁ 量子技术的意义及其应用;➂ 量子生态系统的挑战与机遇。➀ The decline in technology equity investments in 2023 and the rise of generative AI; ➁ The importance of quantum technologies and their applications; ➂ The challenges and opportunities in the quantum ecosystem.
10/08/2024, 05:00 PM UTC
通过3DBlox最大化3D集成电路设计生产力:TSMC在2024年的进展和创新Maximizing 3DIC Design Productivity with 3DBlox: A Look at TSMC’s Progress and Innovations in 2024
➀ TSMC的3DBlox框架解决了3DIC设计的复杂性;➁ 2024年的创新重点在于简化3D设计挑战;➂ TSMC在管理3DIC系统中的电气和物理约束方面的策略。➀ TSMC's 3DBlox framework addresses complexities in 3DIC design; ➁ Innovations in 2024 focus on simplifying 3D design challenges; ➂ TSMC's strategies for managing electrical and physical constraints in 3DIC systems.
10/08/2024, 01:00 PM UTC
SPIE蒙特雷会议:ASML、英特尔——高数值孔径准备就绪——更大掩模/更小特征尺寸SPIE Monterey- ASML, INTC – High NA Readiness- Bigger Masks/Smaller Features
➀ ASML新任CEO克里斯托夫·富凯讨论了高数值孔径EUV及其技术的快速采用;➁ 英特尔提出将掩模尺寸从6英寸×6英寸增加到6英寸×12英寸,并得到ASML的支持;➂ 英特尔已安装了两套高数值孔径系统,显示出与标准EUV相比的显著改进。➀ ASML's new CEO, Christophe Fouquet, discussed High NA EUV and the rapid adoption of the technology; ➁ Doubling the size of photomasks from 6″x6″ to 6 ″x12″ was proposed by Intel and supported by ASML; ➂ Intel has installed two High NA systems, showing significant improvement over standard EUV.
10/08/2024, 06:08 AM UTC
下一代光互连器新研究:多芯片片互连低延迟New research in next-gen optical interposers: mutliple chiplets connected with low latency
➀ 欧洲技术研究院CEA-Leti正在开发基于硅光学的光互连器;➁ 新技术名为Starac,旨在减少通信延迟;➂ Starac使用ONoC在芯片片之间进行高速数据传输,无需中间跳转。➀ CEA-Leti is developing optical interposers using silicon photonics; ➁ The new technology, called Starac, aims to reduce communication delays; ➂ Starac uses an ONoC for high-speed data transmission between chiplets without intermediate hops.
10/01/2024, 05:27 PM UTC
9月DRAM和NAND价格下跌超过10%DRAM and NAND prices drop by over 10% in September
➀ 9月份DRAM和NAND的合约价格下跌超过10%;➁ 下跌原因是PC和消费电子产品需求低迷;➂ DDR4 8Gb 1Gx8的价格下跌了17.07%,至1.7美元。➀ Contract prices of DRAM and NAND dropped by over 10% in September; ➁ The decline was due to low demand for PCs and consumer electronics; ➂ DDR4 8Gb 1Gx8 prices fell by 17.07% to US$1.7.
09/24/2024, 06:22 PM UTC
德比科克接近敲定10年特斯拉动力芯片合同DB Hitek close to clinching 10-year Tesla power chip deal
➀ 德比科克即将签署一项为期十年的合同,为特斯拉制造动力管理IC。➁ 美国车企的质量控制人员于6月访问了韩国德比科克的工厂进行检验。➂ 该合同涉及为特斯拉车辆制造动力管理IC。➀ DB Hitek is on the verge of signing a ten-year deal to manufacture power management ICs for Tesla vehicles. ➁ The quality control staff of the US carmaker visited DB Hitek's facility in South Korea for an inspection in June. ➂ The deal involves manufacturing power management ICs for Tesla vehicles.
09/23/2024, 05:49 PM UTC
LPKF否认Philoptics对其TGV技术的指控:提供有限孔径尺寸LPKF denies Philoptics’ allegation that its TGV tech offers limited hole sizes
➀ LPKF驳斥Philoptics对其TGV技术的指控;➁ Philoptics声称LPKF的技术只能提供有限孔径尺寸;➂ LPKF对Philoptics关于技术优越性的指控提出异议。➀ LPKF refutes Philoptics' claims about its TGV technology; ➁ Philoptics alleged LPKF's technology offers limited hole sizes; ➂ LPKF disputes Philoptics' claim of technological superiority.
09/23/2024, 11:07 AM UTC
英特尔获得85亿美元新融资,高通觊觎收购Intel scoops in $8.5 billion in new funding over the last few days, as Qualcomm eyes takeover
➀ 英特尔从阿波罗全球管理公司获得了85亿美元的新融资;➁ 这一举措被视为对英特尔转型策略的信心投票;➂ 据传闻,高通正在考虑对英特尔进行收购。➀ Intel has secured $8.5 billion in new funding from Apollo Global Management; ➁ The move is seen as a vote of confidence in Intel's turnaround strategy; ➂ Qualcomm is rumored to be considering a takeover bid for Intel.
08/20/2024, 12:39 AM UTC
三星下一代HBM4将于2025年底进入大规模生产,为下一代AI GPU准备就绪Samsung's next-gen HBM4 to enter mass production by the end of 2025, ready for next-gen AI GPUs
➀ 三星预计将在2024年第四季度完成下一代HBM4内存的设计。➁ HBM4的大规模生产计划于2025年第四季度进行,目标是为英伟达的下一代Rubin R100 AI GPU提供支持。➂ AI行业的需求正在推动HBM内存的增长,SK海力士和三星正在大力投资生产能力。➀ Samsung is expected to tape-out its next-generation HBM4 memory in Q4 2024. ➁ Mass production of HBM4 is scheduled for Q4 2025, targeting NVIDIA's next-gen Rubin R100 AI GPU. ➂ The AI industry's demand is driving the growth of HBM memory, with SK hynix and Samsung investing heavily in production capabilities.
08/15/2024, 01:00 PM UTC
UCIe对Chiplet设计的影响:降低门槛与推动创新The Impact of UCIe on Chiplet Design: Lowering Barriers and Driving Innovation
➀ UCIe标准化了chiplet之间的通信,降低了行业门槛并促进了创新。➁ 它通过允许从不同供应商混合匹配chiplet,实现了专业化和定制化解决方案。➂ UCIe支持AI应用的定制硅芯片,提高了性能和效率。➀ UCIe standardizes chiplet communication, lowering barriers and fostering innovation. ➁ It enables specialized and customized solutions by allowing mix-and-match of chiplets from various vendors. ➂ UCIe supports custom silicon for AI applications, enhancing performance and efficiency.
08/13/2024, 01:00 PM UTC
为什么选择玻璃基板?Why Glass Substrates?
➀ 玻璃基板具有超平坦性和更高的互连密度,有可能取代有机基板和硅中介层。➁ 它们与硅芯片具有更好的热匹配性,并能处理更高的芯片密度。➂ 挑战包括脆性、粘附问题和缺乏行业标准。➀ Glass substrates offer superior flatness and higher interconnect densities, potentially replacing organic substrates and silicon interposers. ➁ They provide better thermal matching with silicon dies and can handle higher chip densities. ➂ Challenges include brittleness, adhesion issues, and lack of industry standards.
08/11/2024, 03:00 PM UTC
后AI投资回报率恐慌下的数据中心处理市场概览A Post-AI-ROI-Panic Overview of the Data Center Processing Market
➀ 数据中心处理市场显示出强劲增长,尤其是处理领域,增长了20.3%。➁ Nvidia在收入和利润方面主导市场,远超竞争对手。➂ AI GPU的供应链已经演变,内存供应成为关键组成部分。➀ The data center processing market shows robust growth, especially in processing, with a 20.3% increase. ➁ Nvidia dominates the market in terms of both revenue and profits, with a significant lead over competitors. ➂ The supply chain for AI GPUs has evolved, with memory supply becoming a critical component.
08/07/2024, 12:17 AM UTC
英特尔下一代Core Ultra 300系列'Panther Lake'成功启动,预计2025年量产Intel's future-gen Core Ultra 300 series 'Panther Lake' powered on, on track for 2025
➀ 英特尔下一代Panther Lake CPU已成功启动,预计2025年采用Intel 18A工艺节点量产。➁ 该CPU具备最多16个核心和最多12个Xe3-GPU核心,主要设计用于移动设备。➂ Intel 18A工艺节点已为外部客户部署,采用RibbonFET和PowerVIA技术。➀ Intel's next-gen Panther Lake CPU has been powered on and is on track for 2025 production on the Intel 18A process node. ➁ The CPU features up to 16 cores and up to 12 Xe3-GPU cores, primarily designed for mobile devices. ➂ Intel 18A process node has also been deployed for an external customer, featuring RibbonFET and PowerVIA technologies.
08/05/2024, 05:00 PM UTC
第61届DAC上的3D IC设计生态系统小组讨论3D IC Design Ecosystem Panel at #61DAC
➀ 小组讨论了AI和数据中心中功率效率的挑战,强调了3D混合键合对于更密集和更节能互连的需求。➁ 英特尔代工厂强调了集成3D IC产品的系统方法,目标是实现CAD无关的工具流和系统技术协同优化(STCO)。➂ 高通公司专注于协同优化和左移策略,保持EDA供应商中立以应对多物理挑战。➀ The panel discussed the challenges of power efficiency in AI and data centers, highlighting the need for 3D hybrid bonding for denser and more power-efficient interconnects. ➁ Intel Foundry emphasized a systems approach to integrating 3D IC products, aiming for CAD agnostic tool flows and System Technology Co-Optimization (STCO). ➂ Qualcomm focused on co-optimization and shift-left strategies, staying EDA vendor-agnostic to tackle multi-physics challenges.
08/04/2024, 01:59 PM UTC
英特尔的死亡螺旋再次加剧Intel’s death spiral took another turn
➀ 英特尔最新财报显示其财务困境进一步加深,营收和毛利率均未达到预期。➁ 公司正采取艰难决策,包括裁员和削减资本支出,以应对财务挑战。➂ 英特尔还利用创新融资策略,如半导体共同投资计划,以支持其制造扩张计划。➀ Intel's latest earnings report indicates a deeper plunge into its financial woes, with revenue and gross margin missing guidance. ➁ The company is making tough decisions, including headcount reduction and capital expenditure cuts, to address its financial challenges. ➂ Intel is also leveraging creative financing strategies, such as the Semiconductor Co-Investment Program, to support its manufacturing expansion plans.
08/04/2024, 01:00 PM UTC
LRCX业绩良好但指引不足,AMAT Epic项目资金申请失败及缓慢稳步复苏LRCX Good but not good enough results, AMAT Epic failure and Slow Steady Recovery
➀ 拉姆研究报告了良好的财务业绩,但提供了较为疲软的指引,导致投资者失望。➁ 应用材料公司为其Epic设施申请CHIPS法案资金的请求似乎已被拒绝。➂ 半导体行业预计将稳步复苏,但速度将比以往周期慢,受到AI和HBM等因素以及来自中国客户利润率下降的影响。➀ Lam Research reported good financial results but provided a softer guidance, leading to investor disappointment. ➁ Applied Materials' request for CHIPS Act funding for their Epic facility seems to have been denied. ➂ The semiconductor industry is expected to recover steadily, but at a slower pace than previous cycles, influenced by factors like AI and HBM, as well as declining margins from Chinese customers.
07/30/2024, 07:19 AM UTC
SK海力士计划投资9.4万亿韩元建设新工厂以应对AI需求激增SK Hynix to build new fab to respond to high demand from AI
1、SK海力士计划投资9.4万亿韩元建设新工厂,以应对由人工智能热潮引发的内存芯片高需求。2、新工厂将位于韩国政府支持的永宁半导体集群新工业区。3、工厂建设即将启动。1. SK Hynix plans to invest 9.4 trillion won to construct a new fab in response to the high demand for memory chips driven by AI. 2. The new fab will be located at the Yongin Semiconductor Cluster, a new industrial zone supported by the South Korean government. 3. Construction of the fab is set to commence soon.
07/29/2024, 11:36 AM UTC
台积电将采用ASML的下一代High-NA EUV机器于2027年第三季度的下一代A14工艺节点TSMC will use ASML's next-gen High-NA EUV machines for next-gen A14 process node in Q3 2027
1、台积电计划在2027年第三季度使用ASML的高NA EUV光刻机进行A14工艺节点,并在2028年进行A14P工艺节点;2、台积电是ASML的最大客户,也是其未来增长的关键驱动力;3、首批高NA EUV光刻机订单预计约为70台,价值266亿美元。1. TSMC plans to use ASML's High-NA EUV lithography machines for its A14 process node in Q3 2027 and A14P in 2028; 2. TSMC is ASML's largest customer and a key driver for its future growth; 3. The first wave of orders for High-NA EUV machines is estimated to be around 70 units, worth $26.6 billion.
07/26/2024, 01:00 PM UTC
CEO专访:Silvaco的Babak Taheri博士CEO Interview: Dr. Babak Taheri of Silvaco
1、Babak Taheri博士讨论了他在Silvaco担任CEO的角色,Silvaco是一家提供设计和制造软件平台的公司。2、Silvaco专注于AI辅助的数字孪生建模,服务于汽车、显示和存储器等市场。3、公司在半导体行业面临管理复杂性、上市时间和成本管理等挑战。1. Dr. Babak Taheri discusses his role as CEO of Silvaco, a provider of software platforms for design and fabrication. 2. Silvaco specializes in AI-assisted digital twin modeling, serving markets like automotive, display, and memory. 3. The company faces challenges in managing complexity, time-to-market, and cost management in the semiconductor industry.
07/24/2024, 01:00 PM UTC
IROC推出升级版软错误分析与缓解解决方案IROC Introduces an Upgraded Solution for Soft Error Analysis and Mitigation #61DAC
1、IROC Technologies在#61DAC上推出了SoCFIT的升级版,用于软错误分析与缓解。2、SoCFIT提供全面的错误传播分析和详细的脆弱性报告。3、新版本包含FDR FastSIM,提供比传统方法快1,000倍的故障传播模拟。1. IROC Technologies introduces an upgraded version of SoCFIT for soft error analysis and mitigation at #61DAC. 2. SoCFIT provides comprehensive error propagation analysis and detailed vulnerability reporting. 3. The new release includes FDR FastSIM, which offers 1,000 times faster fault propagation simulation.
07/17/2024, 07:31 AM UTC
Genesem 为 SK Hynix 提供用于HBM混合键合工艺的新设备Genesem supplies new kits for use in hybrid bonding process in HBM to SK Hynix
1、半导体封装公司 Genesem 已向 SK Hynix 提供下一代混合键合设备。2、该设备用于生产高带宽内存(HBM)。3、两台设备已安装在 SK Hynix 的试验工厂中,用于测试。1. Genesem, a semiconductor packaging firm, has provided next-generation hybrid bonding equipment to SK Hynix. 2. The equipment is intended for use in the production of high-bandwidth memory (HBM). 3. Two units of the equipment have been installed at SK Hynix’s pilot fab for testing purposes.
07/16/2024, 07:54 AM UTC
斗山泰斯纳旨在成为综合OSAT公司Doosan Tesna aims to become integrated OSAT firm
1、斗山泰斯纳计划将其现有的芯片测试业务与芯片封装能力整合。2、目标是发展公司成为一家综合的外包半导体封装和测试企业。3、此举可能旨在提供包括晶圆测试和封装在内的全面服务。1. Doosan Tesna plans to integrate chip packaging capabilities with its existing chip testing business. 2. The goal is to develop the company into an integrated outsourced semiconductor assembly and test firm. 3. This move likely aims to offer comprehensive services including wafer test and packaging.
07/16/2024, 03:27 AM UTC
NVIDIA、 台积电、SK海力士组建‘三角联盟’,共同开发下一代AI GPU及HBM4内存NVIDIA, TSMC, SK hynix form 'triangular alliance' for next-gen AI GPUs and HBM4 memory
1、NVIDIA、台积电和SK海力士已组建‘三角联盟’,旨在引领下一代AI GPU和HBM4内存的开发。2、SK海力士总裁金周奂预计将在台湾半导体展上与台积电高管讨论下一代HBM合作计划。3、该联盟旨在通过2026年进入大规模生产的HBM4,主导AI GPU市场。1. NVIDIA, TSMC, and SK hynix have formed a 'triangular alliance' to lead the development of next-gen AI GPUs and HBM4 memory. 2. SK hynix president Kim Joo-sun is expected to discuss next-generation HBM collaboration plans with TSMC executives at SEMICON Taiwan. 3. The alliance aims to dominate the AI GPU market with HBM4 entering mass production in 2026.
07/15/2024, 02:59 AM UTC
英伟达为下一代Blackwell AI GPU向台积电增加25%订单,应对强劲AI需求NVIDIA raises orders 25% for TSMC for its next-gen Blackwell AI GPUs amid strong AI demand
1、由于强劲的AI需求,英伟达为下一代Blackwell AI GPU向台积电增加了25%的订单。2、Blackwell AI GPU系列,包括B100、B200和GB200,预计将在2025年主导AI市场。3、亚马逊、戴尔、谷歌、Meta和微软等主要科技公司计划在其新的AI服务器中使用这些GPU。1. NVIDIA increases orders for TSMC by 25% for its next-gen Blackwell AI GPUs due to strong AI demand. 2. The Blackwell AI GPU family, including B100, B200, and GB200, is expected to dominate the AI market in 2025. 3. Major tech companies like Amazon, Dell, Google, Meta, and Microsoft plan to use these GPUs in their new AI servers.
07/11/2024, 01:00 PM UTC
AI繁荣推动接口IP年增长17%AI Booming is Fueling Interface IP 17% YoY Growth
1、AI的快速发展显著推动了半导体行业,特别是接口IP,2023年增长了17%。2、接口IP的市场份额从2017年的18%上升到2023年的28%,预计到2028年将达到38%。3、关键协议如PCIe、内存控制器和以太网预计将因数据中心应用和AI而大幅增长。1. AI's growth is significantly driving the semiconductor industry, especially interface IP, which saw a 17% increase in 2023. 2. Interface IP's market share has risen from 18% in 2017 to 28% in 2023, with projections to reach 38% by 2028. 3. Key protocols like PCIe, memory controllers, and Ethernet are expected to see substantial growth, driven by data-centric applications and AI.
07/10/2024, 05:00 PM UTC
半导体公司财报能否满足投资者的期待?Will Semiconductor earnings live up to the Investor hype?
1、半导体行业显示出复苏迹象,与2023年第一季度相比,收入增长了29%,若排除英伟达,增长率降至10%以下。2、英伟达的强劲表现推动了整体增长,数据中心和人工智能销售的贡献显著。3、库存水平和收入预测表明行业前景谨慎,对当前增长趋势的可持续性存在担忧。1. The semiconductor industry is showing signs of recovery, with a 29% growth in revenue compared to Q1-23, excluding Nvidia, the growth falls to under 10%. 2. Nvidia's strong performance is driving overall growth, with significant contributions from data centre and AI sales. 3. Inventory levels and revenue predictions suggest a cautious outlook for the industry, with concerns over the sustainability of current growth trends.
07/09/2024, 01:00 PM UTC
Breker将RISC-V验证提升至新水平 #61DACBreker Brings RISC-V Verification to the Next Level #61DAC
1、Breker Verification Systems在#61DAC上推出了先进的RISC-V验证解决方案,涵盖核心验证和系统一致性。2、该公司的Trek系列利用AI技术进行测试套件合成,增强错误检测和覆盖率。3、Breker的解决方案支持包括仿真、模拟和后硅在内 的多种环境,确保复杂半导体设计的全面验证。1. Breker Verification Systems introduces advanced RISC-V verification solutions at #61DAC, covering core verification and system coherency. 2. The company's Trek family leverages AI technology for test suite synthesis, enhancing bug detection and coverage. 3. Breker's solutions support multiple environments including simulation, emulation, and post-silicon, ensuring comprehensive verification for complex semiconductor designs.
05/31/2024, 01:00 PM UTC
CAST公司CEO Nikos Zervas采访CEO Interview: Dr. Nikos Zervas of CAST
1、CAST公司提 供IP核心,帮助电子系统开发者节省时间和金钱。 2、CAST公司的产品线包括处理器、压缩、接口、外围设备和安全等领域。 3、公司的目标是提供更好的IP体验,包括高质量的产品、简洁的使用、市场领先的技术支持和灵活的许可模式。 4、CAST公司解决了SoC开发者的问题,使他们可以更快地完成系统设计。 5、公司的产品应用于汽车、工业自动化、数据中心、可穿戴设备和航空航天等领域。
05/29/2024, 03:00 PM UTC
Arm发布2024年CPU核心设计Cortex X925、A725和A520:Arm v9.2重新定义为3nmArm Unveils 2024 CPU Core Designs, Cortex X925, A725 and A520: Arm v9.2 Redefined For 3nm
1、Arm推出了2024年CPU核心设计,包括Cortex X925、A725和A520,基于3nm工艺技术,提供了性能和效率提升。 2、Arm Client Compute Solutions(CSS)平台将这些核心设计集成到一个holistic解决方案中,为移动设备和notebooks提供高性能和灵活性。 3、Arm的新解决方案还提供了改进的安全性和人工智能能力,支持 memory tagging extensions(MTE)和 confidential compute architecture(CCA)等技术。
05/24/2024, 09:19 AM UTC
韩国扩大对芯片公司的财政支持South Korea to expand financial support for chip companies
1、韩国政府将为本地芯片公司提供扩大的财政支持,总额为20万亿韩元。 2、该支持计划将由韩国工业银行实施,提供17万亿韩元的贷款支持。 3、韩国政府还将加快半导体巨型集群的建设,计划投资26万亿韩元。
05/16/2024, 05:12 PM UTC
韩国将在圣何塞设立人工智能芯片中心South Korea to open AI chip center in San Jose
1、韩国计划在美国圣何塞开设人工智能芯片创新中心,以促进国内系统芯片公司在美国的销售。2、该中心将为三到四家公司提供独立办公室,并提供一个大的开放空间供其他公司使用。3、该项目是韩国贸易、工业和能源部的一项计划,旨在推广系统半导体技术出口。