Logo

SemiVoice

Recent #SEMICONDUCTOR news in the semiconductor industry

  • thelec

    04/01/2025, 07:21 AM UTC

    SFA已开发出三种不同的计量和检测(MI)机器,并将其中的之一供应给了一家主要韩国芯片制造商。

    公司已向该集团供应了一台基于白光干涉仪(WLI)的高度测量机,并计划再供应四台额外的设备。

  • thelec

    04/01/2025, 07:21 AM UTC

    根据周一公布的财报,韩国半导体设备制造商宙斯去年实现了3157亿韩元的收入,较2023年增长了69%。公司也实现了盈利,运营收入为391亿韩元。这一增长归功于宙斯及时开发出适用于高带宽内存的清洗剂。

  • semiwiki

    04/01/2025, 12:26 AM UTC

    ➀ 本研讨会讨论了生成式AI对技术演化和计算能力需求上升的影响。

    ➁ 它涵盖了更大计算芯片、多芯片系统、先进封装和内存架构的趋势。

    ➂ 详细探讨了如芯片间通信、定制HBM和3D堆叠等关键技术。

  • semiwiki

    03/31/2025, 05:00 PM UTC

    ➀ 慧荣科技的马修·斯蒂芬斯拥有超过20年先进材料商业化的经验。

    ➁ 慧荣科技是一家专注于半导体制造先进材料的二级供应商。

    ➂ 公司致力于解决材料创新、规模化和制造可持续性方面的挑战。

  • semiwiki

    03/30/2025, 03:00 PM UTC

    ➀ 预计2024年半导体资本支出(CapEx)将下降至1550亿美元,然后在2025年增加至1600亿美元。

    ➁ 台积电计划在2025年大幅增加CapEx,预计在380亿至420亿美元之间,而美光科技预计为140亿美元。

    ➂ CHIPS法案已拨款320亿美元用于赠款和60亿美元用于贷款,以支持美国半导体制造。

  • semiwiki

    03/28/2025, 01:00 PM UTC

    ➀ Undo的技术允许工程师查看其代码的具体行为,从而促进根本原因分析并提高协作。

    ➁ Undo在半导体设计、数据库和网络等需要调试效率的行业中表现突出。

    ➂ Undo的解决方案通过改进调试过程,帮助客户克服开发瓶颈和错过截止日期等挑战。

  • thelec

    03/27/2025, 08:01 AM UTC

    DI公司子公司Digital Frontier已向SK海力士提供其晶圆检测套件样品,用于生产HBM4。目前该设备正在接受芯片制造商的质量测试,预计从现在起三到六个月内将正式下单。

  • semiwiki

    03/26/2025, 05:00 PM UTC

    ➀ Aeluma通过结合高性能材料和可扩展的硅制造技术,重新定义了半导体技术;

    ➁ Aeluma的技术在AI基础设施、国防、量子计算和下一代传感等领域产生了重大影响;

    ➂ Aeluma正在解决AI和高性能计算在扩展方面遇到的挑战,通过集成化合物半导体和大型衬底来实现大规模生产。

  • semiwiki

    03/24/2025, 05:00 PM UTC

    ➀ NLM Photonics 的首席执行官布拉德·布斯分享了他在技术战略方面的背景。

    ➁ NLM Photonics 旨在将光子学领域的功耗降低 50%。

    ➂ 公司针对人工智能数据中心的高功耗需求,专注于高效调制。

  • semiwiki

    03/24/2025, 01:00 PM UTC

    ➀ 在先进半导体设计中,实现设计规则合规和最佳电气性能对于最小化设计迭代和确保产品可靠性至关重要。

    ➁ 西门子数字工业软件的Calibre设计增强器(DE)提供基于分析的EMIR解决方案,增强电源完整性并减少IR压降。

    ➂ Google和英特尔都使用了Calibre DE来解决设计中的IR压降问题,显著提高了电源网格的鲁棒性和电气性能。

  • semiwiki

    03/20/2025, 01:00 PM UTC

    ➀ 芯片制造中的激进测试往往导致边缘功能的芯片被丢弃,造成浪费。

    ➁ 传统的测试方法如PAT在检测细微缺陷方面存在局限性。

    ➂ proteanTecs的异常检测解决方案利用机器学习来提高芯片的可靠性和性能。

  • thelec

    03/20/2025, 07:52 AM UTC

    由于客户,尤其是博通对高带宽内存(HBM)的需求激增,SK海力士计划将新M15X工厂的设备安装时间提前两个月。此外,公司还计划扩大生产能力。

  • semiwiki

    03/19/2025, 05:00 PM UTC

    ➀ QPT Limited旨在通过高频GaN电机驱动创新降低全球电力消耗5%;

    ➁ 2024年,与ABB合作研发了全球首个1MHz GaN基7.5KW电机驱动;

    ➂ 预计2025年通过ABB项目成果的战略合作伙伴关系,加速公司增长。

  • semiwiki

    03/19/2025, 01:00 PM UTC

    ➀ 汽车电子正迅速发展,重点关注自动驾驶、电动化和车内驾驶舱的改进。

    ➁ 对高级功能的需求很高,但原始设备制造商(OEM)旨在降低成本并最大化软件驱动的功能。

    ➂ 现代汽车配备了多种传感器,包括摄像头、雷达和激光雷达,需要高级融合和处理技术。

  • semiwiki

    03/17/2025, 01:00 PM UTC

    ➀ 汽车行业正在经历一场革命,软件定义的汽车和AI的整合是推动力。

    ➁ 传统的ECU架构正在向集中式和区域化设计转变,以提高效率和数据处理能力。

    ➂ 慧荣的FD-SOI技术在提升下一代汽车应用的性能、能效和可靠性方面至关重要。

  • thelec

    03/14/2025, 06:34 PM UTC

    韩华半导体周五宣布,已与SK海力士签订了一份价值210亿韩元的协议,将向其供应高带宽内存(HBM)生产设备。此前称为韩华精密机械的公司未具体说明设备,但几乎可以确定是热压缩(TC)粘合机。

  • thelec

    03/14/2025, 06:34 PM UTC

    设备零部件制造商Seojin System去年实现了有史以来最高的收益。该公司周四宣布,去年实现了1.21万亿韩元的收入和1087亿韩元的营业利润,较2023年分别增长了56%和122%。Seojin System将这一增长归因于其强劲的销售和运营效率。

  • semiwiki

    03/14/2025, 01:00 PM UTC

    ➀ 成立于2021年的Axelera AI致力于提供可扩展的边缘AI硬件和软件解决方案。

    ➁ 公司已筹集1.2亿美元,并拥有一支190多人的世界级团队。

    ➂ Axelera AI的Metis平台提供高性能和低功耗,针对计算机视觉、汽车和医疗保健等应用。

  • semiwiki

    03/13/2025, 05:00 PM UTC

    ➀ 光刻分辨率不仅受波长和数值孔径的影响,图像模糊也是一个重要因素,它影响图像对比度和对随机效应的敏感性。

    ➁ 模糊可能来源于耀斑、图像褪色、舞台失同步以及电子行为等多种因素。

    ➂ 将电子模糊纳入光刻模型对于提高图像分辨率和降低先进半导体制造中的缺陷率至关重要。

  • tweaktown

    03/12/2025, 06:33 PM UTC

    ➀ 台积电提出了与英伟达、AMD等美国芯片设计公司合资运营英特尔半导体工厂的想法,台积电的持股比例不会超过50%。

    ➁ 在特朗普总统推动振兴美国半导体产业之后,这些谈判处于早期阶段。

    ➂ 台积电将运营英特尔晶圆厂,但持股比例不会超过50%。

  • semiwiki

    03/12/2025, 05:00 PM UTC

    ➀ 贝塔达普是Irresistible Materials公司的首席执行官,该公司专注于为半导体制造提供EUV光刻胶材料。

    ➁ 公司的MTR™平台解决了传统光刻胶材料的局限性,并提供了显著的成本节约。

    ➂ Irresistible Materials与领先的半导体制造商紧密合作,致力于开发EUV光刻的创新解决方案。

  • semiwiki

    03/11/2025, 05:00 PM UTC

    ➀ Finwave半导体首席执行官皮埃尔-伊夫·莱斯夏雷分享了他在半导体行业的丰富经验以及他在Finwave的领导角色。

    ➁ 强调了公司与全球领先的代工厂GlobalFoundries在GaN-on-Si技术发展及许可方面的战略合作伙伴关系。

    ➂ 莱萨夏雷讨论了引入新技术所面临的挑战以及公司为高频射频通信提供的解决方案。

  • tweaktown

    03/11/2025, 01:45 PM UTC

    ➀ 尼尔·德鲁克曼与编剧亚历克斯·加兰德探讨了电子游戏叙事的演变,以及围绕游戏叙事的挑战和灵感。

    ➁ 《生化奇兵》和《最后生还者》等游戏展示了游戏叙事的完整能力,挑战了行业的怀疑态度。

    ➂ 德鲁克曼分享了他对叙事驱动型游戏的早期灵感,包括《猴岛惊魂2》和《半条命2》。

  • tweaktown

    03/07/2025, 05:12 PM UTC

    ➀ 可信的泄露者Billbil-Kun报道称,《死亡搁浅2》的预购预计将在3月开始,发布日期可能将在SXSW 2025上宣布。

    ➁ 游戏将有一个标准版售价69.99美元,以及一个售价229美元的收藏版。

    ➂ 泄露还确认,《死亡搁浅2》将推出物理标准版,售价69.99美元/69.99欧元,以及为北美和欧洲准备的收藏版,售价229美元。

  • semiwiki

    03/07/2025, 04:00 PM UTC

    ➀ S2C在亚太地区2024年Arm技术研讨会后,推出了针对智能视觉物联网和下一代汽车技术的解决方案;

    ➁ S2C的基于Arm的智能视觉参考设计和混合MCU原型设计平台,帮助开发者加速产品开发,提高效率;

    ➂ S2C与Arm的合作,为开发者提供了加速创新和满足对更智能、更高效解决方案不断增长需求的途径。

  • semiwiki

    03/05/2025, 06:00 PM UTC

    ➀ Pradyumna(Prady)Gupta博士是无限实验室和无限材料公司的创始人兼首席科学家,专注于高科技行业的材料测试和特种化学品。

    ➁ 无限实验室提供超过2,000个认证实验室的网络,提供全面的材料测试服务。

    ➂ 无限材料专注于半导体和电池等行业定制的无机化学品。

  • semiwiki

    03/04/2025, 06:00 PM UTC

    ➀ 沙宾-威廉姆斯是全球半导体制造设施防护涂料领域的领导者。

    ➁ 公司通过高效解决方案应对紧迫的截止日期和劳动力短缺等挑战。

    ➂ 史蒂夫·豪温顿强调了公司作为半导体行业合作伙伴的定位及其在半导体建设方面的专业知识。

  • semiwiki

    03/04/2025, 02:00 PM UTC

    ➀ 随着半导体芯片设计的复杂性增加,后光刻流程(PTOF)的管理对可扩展的云解决方案的需求也在增加。

    ➁ 云平台提供了动态扩展和成本效益的资源管理。

    ➂ 如西门子EDA与AWS等战略合作伙伴关系正在改变PTOF流程。

  • tweaktown

    03/03/2025, 07:01 PM UTC

    ➀ 台积电计划于2026年在台湾开始A16工艺节点的量产,并于2028年扩展至亚利桑那州。

    ➁ 台积电在亚利桑那州的新晶圆厂已经开始量产,这是其在美国的第一个实现量产的工厂。

    ➂ 台积电的新2nm和A16工艺节点可能比之前计划得更早在美国生产。

  • semiwiki

    03/03/2025, 06:00 PM UTC

    ➀ 2024年,yieldHUB扩展了其数据科学团队,推动了AI集成和现有客户基础的扩大;

    ➁ 公司面临在开发下一代平台的同时满足当前平台增长需求的挑战;

    ➂ yieldHUB即将推出yieldHUB Live,这是一款AI驱动的实时监控系统,旨在改进测试流程和减少停机时间。

  • semiwiki

    03/03/2025, 02:00 PM UTC

    ➀ 工程化基板技术正在推动半导体行业从传统的平面扩展到创新材料和3D集成。

    ➁ 慧荣科技、英特尔和三星等公司正在引领这项技术的采用。

    ➂ 代工厂越来越认识到全耗尽硅氧化物绝缘体(FD-SOI)等工程化基板在成本和性能方面的战略重要性。

  • tweaktown

    03/03/2025, 03:09 AM UTC

    ➀ 英特尔将其原定于2025年建设的俄亥俄州半导体制造工厂推迟至至少2030年。

    ➁ 俄亥俄州的第一个阶段(Mod 1)现在预计将在2030年完成,生产预计将在2030年至2031年之间开始。

    ➂ 英特尔耗资280亿美元的俄亥俄州芯片制造工厂位于纽阿尔班,推迟建设是为了使工厂运营与市场需求相一致。

  • semiwiki

    02/28/2025, 04:00 PM UTC

    ➀ 慧荣科技专注于半导体安全产品和服务的提供。

    ➁ Radix平台能够提前检测到安全漏洞。

    ➂ 库尔曼博士强调了在半导体设计中安全的重要性。

  • semiwiki

    02/26/2025, 06:00 PM UTC

    ➀ 半导体行业正从单芯片设计转向多芯片设计,这一转变受到复杂AI软件的驱动。

    ➁ Arteris通过其缓存一致性的网络交换机(NOC)技术解决如“内存墙”等问题。

    ➂ Arteris的Magillem技术有助于管理和集成现代设计中的众多IP块。

  • semiwiki

    02/26/2025, 02:00 PM UTC

    ➀ 本文讨论了IBM POWER9处理器的后硅验证,强调了其在超级计算机中多核处理器采用率不断增长的环境中的相关性。

    ➁ 自POWER7以来,IBM的Threadmill(用于处理器验证的工具)得到了增强,包括优化模板分配和多核写丢弃的调试策略。

    ➂ 与POWER8相比,POWER9的验证方法在故障检测率上有了显著提高,采用了新的技术,如AI优先级和硬件干扰器来诱导故障。

  • semiwiki

    02/25/2025, 06:00 PM UTC

    ➀ MSquare技术公司在Chiplet技术领域取得了显著成就,推出了ML100 IO Die。

    ➁ 由于宏观经济因素和技术障碍,公司在2024年面临挑战,但成功将其转化为增长机会。

    ➂ MSquare的解决方案正在通过创新的Chiplet解决方案应对AI和数据中心领域的快速扩张。

  • semiwiki

    02/25/2025, 02:00 PM UTC

    ➀ Synopsys 扩展了其硬件辅助验证(HAV)组合,以应对芯片设计的日益复杂性。

    ➁ 新解决方案旨在加速半导体设计和系统验证。

    ➂ 关键产品包括下一代硬件引擎 ZeBu-200 和 HAPS-200,提供显著的性能提升。

  • thelec

    02/23/2025, 08:51 PM UTC

    泛林集团推出了一款名为ALTUS Halo的原子层沉积(ALD)机器,该机器采用钼材料。根据泛林集团董事Tae-Soon Park在Semicon Korea期间的新闻发布会上所说,与钨相比,使用钼可以降低50%的电阻,从而加快应用速度。

  • tweaktown

    02/23/2025, 07:01 PM UTC

    ➀ 台积电正在推进其2纳米工艺节点,预计到2025年底月产能将达到5万至8万片晶圆。

    ➁ 台积电的2纳米工艺节点已进入密集试产阶段,预计其新竹宝山厂每月可生产5,000至10,000片2纳米晶圆。

    ➂ 如果第二阶段进展顺利,月产能有可能在年底前达到8万片。

  • semiwiki

    02/23/2025, 06:00 PM UTC

    ➀ EUV和DUV在20nm间距下都是可行的选项;

    ➁ 在20nm间距下,EUV和DUV方法可以实现类似的特征尺寸和分辨率;

    ➂ 即使在EUV光刻中,2nm节点的任何双曝光方案仍然需要成像10nm线宽。

  • semiwiki

    02/21/2025, 02:00 PM UTC

    ➀ Alpha Design AI 通过其旗舰产品 ChipAgents,正在革新芯片设计和验证流程,该工具利用生成式AI自动化调试和验证过程。

    ➁ 该公司由CEO兼创始人王威廉博士领导,他同时也是加州大学圣塔芭芭拉分校的教授。

    ➂ ChipAgents 通过与现有EDA工具集成,解决行业挑战,并显著缩短设计周期。

  • thelec

    02/21/2025, 09:12 AM UTC

    应用材料公司于周四展示了其新的检查套件SEMVision H20,该设备配备了公司第二代冷融合发射(CFE)技术,分析速度比热融合发射(TFE)设备快三倍。

  • semiwiki

    02/20/2025, 06:00 PM UTC

    ➀ Sri Lakshmi Simhadri探讨了MosChip在2024年的成就;

    ➁ 在适应市场变化和招聘专业人才方面面临的挑战;

    ➂ 对半导体行业2025年最大增长领域的见解。

  • semiwiki

    02/20/2025, 02:00 PM UTC

    ➀ Soitec通过其独特的Smart Cut™技术和工程化基板,显著提升了芯片性能和能效,推动了移动、汽车、人工智能和量子计算等领域的进步;

    ➁ 该公司在移动通信、汽车应用和人工智能数据中心领域,凭借其硅光子技术等关键产品,推动了相关技术的进步;

    ➂ Soitec致力于成为半导体材料领域的可持续领导者,通过技术创新和环境保护的结合,引领行业可持续发展。

  • thelec

    02/20/2025, 07:53 AM UTC

    YEST与日本NAND芯片巨头Kioxia洽谈,旨在为其供应高压退火(HPA)设备。公司发言人表示,此次洽谈目的是为Kioxia的生产线扩张提供HPA设备。YEST的设备每动作可处理125片晶圆,而竞争对手的设备只能处理75片。

  • semiwiki

    02/19/2025, 06:00 PM UTC

    ➀ 传统射频板级设计策略在高频和密集布局下不足;

    ➁ 键合科技和Modelithics合作推出先进的射频板级仿真工作流程;

    ➂ 使用3D无源元件模型增强了仿真精度,降低了板级重设计的风险。

  • semiwiki

    02/19/2025, 04:00 PM UTC

    ➀ 慧荣科技专注于低功耗内存解决方案,显著降低了SRAM和其他嵌入式内存的功耗;

    ➁ 2024年,慧荣科技成功实施了低功耗内存编译器,并领导了一个开发量子计算机低温IP的项目;

    ➂ 公司预计AI和智能医疗解决方案将在2025年推动增长,同时继续在超低功耗内存技术上进行创新。

  • thelec

    02/19/2025, 07:53 AM UTC

    晶圆设备制造商GeneSem已向一家韩国芯片制造商供应了用于高带宽内存(HBM)最终测试的封装排序器。这是GeneSem与该芯片制造商的第一笔此类交易。该排序器也与Nvidia要求的HBM检测设备兼容。

  • thelec

    02/18/2025, 07:32 AM UTC

    ➀ 三星芯片部门最高负责人访问了美国NVIDIA总部;

    ➁ 他带来了公司最新1b DRAM的样品;

    ➂ 访问的目的是讨论改进版DRAM在HBM中的潜在应用。

  • semiwiki

    02/17/2025, 06:00 PM UTC

    ➀ 预计Alchip在2024年的营收将超过10亿美元,为公司发展树立了重要里程碑;

    ➁ 公司致力于先进技术的研究,包括2nm测试车间的发布和3DIC设计流程的准备工作;

    ➂ Alchip通过灵活且强大的3DIC设计流程解决先进封装的挑战,优化功率传输、互连和热特性。

  • semiwiki

    02/16/2025, 05:00 PM UTC

    ➀ 应用材料公司(AMAT)因中国市场份额流失,季度业绩指引低于预期。

    ➁ AMAT将业绩下滑归因于中国出口限制,但分析师认为市场份额流失也是一个因素。

    ➂ 国内半导体设备制造商正在获得市场份额,这可能减少AMAT的销售。

  • tweaktown

    02/16/2025, 01:54 AM UTC

    ➀ 台积电CEO魏哲家否认了收购英特尔美国半导体工厂的计划,尽管有相关传闻。

    ➁ 魏哲家强调英特尔是台积电的一个非常好的客户,并为公司带来了大量的业务。

    ➂ 特朗普政府对外国实体拥有美国芯片工厂的态度以及是否支持外国公司运营英特尔工厂的情况仍然不明朗。

  • tweaktown

    02/16/2025, 12:06 AM UTC

    ➀ 台积电计划于2025年中开始建设其在亚利桑那州的第三座工厂,比原计划提前一年。

    ➁ 公司还在考虑在美国建立一个新的CoWoS先进封装工厂。

    ➂ 这项扩张是台积电在美国增加其影响力的战略之一,同时也是为了应对半导体行业外国控制的担忧。

  • tweaktown

    02/14/2025, 06:01 PM UTC

    ➀ Arm计划推出其首款自研芯片,可能是一款服务器CPU芯片,将由台积电生产;

    ➁ 报道称,Arm已将Meta作为其首个客户之一,计划最早于今年夏天推出这款芯片;

    ➂ Arm原本设计半导体结构并将其设计许可给苹果、英伟达等公司,现在将带着自己的服务器CPU进入市场。

  • tweaktown

    02/13/2025, 01:56 AM UTC

    ➀ 由于“芯片供应限制”,NVIDIA GeForce RTX 5060 的发布已被推迟至 2025 年 4 月;

    ➁ 延期影响了 RTX 5070、RTX 5080 和 RTX 5090,预计供应有限,将导致产品迅速售罄;

    ➂ 人工智能的兴起增加了半导体需求,这将影响新 GeForce RTX 50 系列的全球供应。

  • semiwiki

    02/12/2025, 02:00 PM UTC

    ➀ 随着传统缩放速度放缓,半导体行业正面临一个范式转变。

    ➁ 基于芯片模块的架构提供了诸如模块化、定制化和提高良率等优势。

    ➂ 包装技术的创新和预设计的框架正在简化芯片模块的设计。

  • tweaktown

    02/12/2025, 02:08 AM UTC

    ➀ 由Palmer Luckey领导的Anduril Industries即将接管美国陆军的集成视觉增强系统(IVAS)项目,前提是得到国防部的批准。

    ➁ 该项目包括具有增强现实(AR)功能的先进头盔,Anduril将负责生产和开发,并选择微软Azure作为首选的云服务提供商。

    ➂ Oculus VR和Anduril Industries的创始人Palmer Luckey将此事视为一项极具个人意义的成就,强调这项技术有可能挽救生命。

  • semiwiki

    02/10/2025, 06:00 PM UTC

    ➀ 慧荣科技提供全面定制的芯片设计服务,专注于满足客户需求并确保质量;

    ➁ 预计到2025年,随着电子设备复杂性的增加,先进半导体设计将增长;

    ➂ 强调与客户详细项目对齐的重要性,使用架构规范阶段确保成功结果。

  • thelec

    02/09/2025, 06:35 PM UTC

    周五,SK海力士的设备供应商Nextin表示,2024年其收入增长了30%以上,运营收入增长了32.24%。收入达到1148.2亿韩元,运营收入为478.2亿韩元。Nextin将增长归因于国内外市场的订单增加。

  • thelec

    02/09/2025, 06:35 PM UTC

    韩华精密机械公司即将正式从SK海力士手中获得用于生产高带宽内存(HBM)的热压缩(TC)机订单。消息人士表示,该设备制造商与芯片制造商正在进行最后的验证阶段。

  • semiwiki

    02/07/2025, 02:00 PM UTC

    ➀ AONDevices专注于超低功耗边缘AI解决方案,注重能效和精度。

    ➁ AONx360平台简化了机器学习模型的创建和部署。

    ➂ AONDevices通过结合机器学习芯片、模型和软件的端到端方法来区分自己。

  • tweaktown

    02/06/2025, 06:35 AM UTC

    ➀ 中国已对一家主要的美国科技公司启动了反垄断调查,作为对特朗普总统最近实施的进口关税的回应。

    ➁ 调查针对谷歌,并紧随一系列中国的报复措施,包括对美国煤炭、天然气、石油、农业设备和大排量汽车的新关税。

    ➂ 谷歌曾面临来自欧盟、英国和美国等多个地区的反垄断调查。

  • semiwiki

    02/04/2025, 02:00 PM UTC

    ➀ proteanTecs提供先进的电子设备,具备自监控功能,以优化性能、降低功耗并防止故障;

    ➁ proteanTecs的AVS Pro™解决方案为客户实现了显著的节能效果,最高可达14%;

    ➂ proteanTecs通过生产测试和深度数据可见性解决方案,帮助公司优化芯片性能并缩短上市时间。

  • semiwiki

    02/03/2025, 06:00 PM UTC

    ➀ 多芯片设计和异构集成对于半导体技术发展至关重要。

    ➁ Synopsys提供了一套全面的多芯片设计解决方案,应对各种挑战。

    ➂ Synopsys发布的白皮书提供了关于多芯片系统架构、验证、实施和测试的详细见解。

  • tweaktown

    02/03/2025, 04:32 AM UTC

    ➀ 研究公司SemiAnalysis透露,深探的R1模型实际成本远高于宣称的500万美元。

    ➁ 深探在训练其R1模型时花费了远超宣称的500万美元,估计总资本支出为16亿美元,运营成本约为9.44亿美元。

    ➂ 深探R1模型的成本公告引起了市场的重大动荡,导致股市蒸发约1万亿美元,英伟达损失约6000亿美元。

  • tweaktown

    02/01/2025, 02:16 AM UTC

    ➀ 英特尔计划在2025年下半年使用新的Intel 18A工艺节点发布其下一代Panther Lake CPU。

    ➁ 这些CPU将在2025年进入大规模生产,预计很快会有更多关于桌面可用性的细节。

    ➂ 在2026年,英特尔将推出Nova Lake CPU,承诺提供增强的性能和成本效率。

  • semiwiki

    01/28/2025, 06:00 PM UTC

    ➀ Semidynamics公司成立于2016年,从RISC-V设计服务转向在2019年开始提供可定制的64位RISC-V处理器IP。

    ➁ 在2024年,UPMEM选择了Semidynamics的IP用于大型语言模型,这引起了其他无晶圆厂半导体公司的兴趣。

    ➂ 公司正专注于提供定制化解决方案,并鼓励早期合作,以应对挑战并满足AI领域的未来需求。

  • tweaktown

    01/28/2025, 08:02 AM UTC

    ➀ 特朗普总统计划对外国半导体生产征税,以促进美国制造业。

    ➁ 他还评论了中国的人工智能公司深势科技,强调其对人工智能行业的影响以及美国竞争力的需求。

    ➂ 深势科技的进步显著影响了市场,导致了巨大的财务变化。

  • semiwiki

    01/24/2025, 02:00 PM UTC

    ➀ Nanusens利用专利技术,通过CMOS制造工艺在芯片中嵌入纳米机械装置(NEMS),从而实现性能提升、体积减小和成本降低。

    ➁ 公司验证了其射频可调电容(DTC)原型,提高了天线效率并增强了智能手机的功能。

    ➂ Nanusens计划首先专注于射频产品,并探索未来在其CMOS技术中的NEMS用于开发人工智能处理器的可能性。

  • thelec

    01/23/2025, 08:12 AM UTC

    ➀ 菲利奥普蒂克斯任命了一位前三星执行官为其晶圆厂设备业务负责人。

    ➁ Im Baek-gyun,他之前是三星设备解决方案部门(Samsung DI)的制造创新中心主管及执行副总裁,将于下个月担任总裁职务。

    ➂ 这一举措增强了菲利奥普蒂克斯在半导体设备市场的地位。

  • tweaktown

    01/17/2025, 03:06 PM UTC

    ➀ 台积电正在增加支出,预计到2026年实现1.6nm芯片的量产;➁ 台积电计划在2024年增加2nm芯片的生产;➂ 台积电预计2025年营收将增长约20%。
  • thelec

    01/15/2025, 08:05 AM UTC

    ➀ DIT宣布了一项价值205.2亿韩元的协议,向SK海力士供应激光退火套件;➁ 该协议自2023年以来一直有效;➂ 未透露具体供应的套件数量。
  • thelec

    01/15/2025, 08:05 AM UTC

    ➀ SK海力士计划在上半年将NAND芯片产量减少10%;➁ 公司每月的NAND晶圆总产能为30万片;➂ 由于供过于求,NAND价格已经连续四个月下跌。
  • semiwiki

    01/13/2025, 06:00 PM UTC

    ➀ 由于数字攻击威胁的增加,数据安全对PCIe至关重要;➁ SPDM和CMA等技术对于在PCIe中实现安全通信至关重要;➂ 椭圆曲线密码学(ECC)通过提供具有更小密钥大小的强大安全性来增强PCIe的安全框架。
  • semiwiki

    01/10/2025, 02:00 PM UTC

    ➀ 35ELEMENTS致力于通过开发立方氮化镓半导体材料来帮助实现碳中和;➁ 公司计划在两年内在兼容CMOS的Si(100)衬底上扩大其材料规模,并寻求与代工厂的合作;➂ 35ELEMENTS拥有立方氮化镓材料的独家专利,并计划制造高效的光发射器,如创新型绿色发光二极管。
  • semiwiki

    01/09/2025, 02:00 PM UTC

    ➀ Samtec展示了224 Gbps PAM4速度的先进互连平台解决方案;➁ 2024年,Samtec面临的最大挑战是满足超大规模企业对GPU和AI加速器的快速增长需求;➂ 预计2025年,微同轴和双绞铜电缆的制造以及公司光收发器产品的扩展将实现显著增长。
  • semiwiki

    01/08/2025, 06:00 PM UTC

    ➀ 斯坦福大学在IEDM 2024上展示了首个60 GHz GaN IMPATT振荡器;➁ 该振荡器实现了60 GHz的振荡,输出功率为12.7 dBm;➂ 斯坦福团队在边缘终止、衬底减薄和器件封装方面引入了关键工艺创新。
  • semiwiki

    01/08/2025, 02:00 PM UTC

    ➀ EUV光刻的分辨率极限受到电子模糊和随机效应的影响;➁ 随机行为源于电子散射的变化,遵循泊松统计;➂ 随着节距的减小,需要更高的剂量来保持图像质量,这会影响吞吐量并需要新的光刻胶材料。
  • thelec

    01/08/2025, 08:01 AM UTC

    ➀ Nextin将在无锡设立子公司;➁ 该子公司将于10月开始生产晶圆厂设备;➁ 此前,Nextin计划与另一家韩国公司合资,但最终决定独立运营;➂ 对于中美贸易争端存在担忧。
  • semiwiki

    01/07/2025, 06:00 PM UTC

    ➀ 年度半导体市场增长的头号数据依然强劲;➁ 深入分析后发现情况更为严峻,库存水平高企,产能利用率低;➂ 研讨会将讨论2025年的市场前景、集成电路单元增长的回归以及中国资本支出过度支出的影响。
  • semiwiki

    01/06/2025, 02:00 PM UTC

    ➀ 低于50纳米间距的随机效应需要重新评估极紫外光刻的分辨率极限;➁ 由于关键尺寸较小,LELE多重曝光技术不足以缓解随机效应;➂ 比较性能分析显示在60纳米间距上略有改进,但随机缺陷率问题仍然存在。
  • semiwiki

    01/03/2025, 02:00 PM UTC

    ➀ 科技洞察将举办免费网络研讨会,预览2025年半导体行业;➁ 讨论包括关税变动、AI加速器和2nm技术突破等主要趋势;➂ TechInsights专家将就关键行业事件及其影响进行演讲。
  • semiwiki

    12/31/2024, 06:00 PM UTC

    ➀ PIMIC是一家专注于超低功耗AI解决方案的创新型AI半导体初创公司。 ➁ 公司计划在CES 2025上推出两款超高效率的AI硅芯片,功耗节省可达10倍至20倍。 ➂ PIMIC的Jetstreme™存储器内处理(PiM)架构满足了从小型到大型AI模型对性能不断增长的需求。
  • thelec

    12/31/2024, 07:47 AM UTC

    ➀ 台积电已开发出共封装光学(CPO)技术;➁ 该技术集成了芯片和光学器件;➂ 目的是缓解GPU(尤其是英伟达GPU)的过热问题。
  • semiwiki

    12/30/2024, 06:00 PM UTC

    ➀ 英特尔晶圆代工服务(IFS)部门面临低良率和预计累计损失。➁ 出售IFS可以为英特尔提供约300亿美元的资金,以专注于核心业务,但可能会阻碍IDM 2.0战略。➂ 决策关键在于英特尔是否应继续投资IFS或出售它以减少财务风险。
  • tweaktown

    12/29/2024, 09:28 PM UTC

    ➀ 三星失去了骁龙8 Elite 2处理器的订单,转给了台积电;➁ 台积电将使用其N3P工艺节点进行量产;➂ 这对三星半导体业务来说是一次挫折,因为其高端芯片生产一直面临挑战。
  • thelec

    12/29/2024, 10:15 AM UTC

    ➀ 韩国半导体封装测试外包公司LB半导体计划在未来三年内大幅增加海外收入;➁ 公司计划通过减少对显示驱动IC(DDI)的依赖来实现这一目标;➂ LB半导体首席执行官南圣雄在首尔的一场新闻发布会上宣布了这一消息。
  • 12/28/2024, 12:38 PM UTC

    ➀ 根据《自由时报》援引《金融时报》的报道,近年来,主要科技公司大幅增加资本支出,以获取人工智能芯片和建设数据中心。➁ 然而,最近全球数据中心投资放缓,引发了对人工智能热潮可能正在降温的担忧。➂ 高通的骁龙8精英2将采用台积电的N3P工艺,而据报道,三星失去了订单。
  • semiwiki

    12/27/2024, 02:00 PM UTC

    ➀ 英特尔需要填满其晶圆厂以保持竞争力;➁ 台积电通过与日本和欧洲的新合作伙伴关系快速发展;➂ 英特尔可能从建立一个共同的代工厂平台联盟中受益。
  • semiwiki

    12/26/2024, 06:00 PM UTC

    ➀ Agileo Automation专注于半导体生产设备和MES系统集成的软件解决方案;➁ Marc Engel拥有25年软件开发和设备设置工程经验;➂ 公司的A²ECF-SEMI框架帮助客户缩短上市时间;➃ Agileo Automation注重客户体验和服务。
  • tweaktown

    12/26/2024, 02:08 PM UTC

    ➀ 台积电在半导体行业的统治地位促使韩国考虑成立KSMC;➁ KSMC的目标是支持本地半导体行业发展;➂ 面临的挑战包括技术差距和人才短缺。
  • semiwiki

    12/25/2024, 04:01 AM UTC

    ➀ Nanusens 首席执行官嘉约普·蒙特亚纳博士讨论了公司专利的纳米机械系统(NEMS)技术及其在传感器和AI处理器中的应用;➁ 公司对射频可调电容器(RF DTC)的关注及其可能对智能手机技术产生革命性影响;➂ 公司在融资方面面临的挑战以及公司战略转向专注于射频产品的举措;➃ 真空晶体管在AI处理器中的潜力以及Nanusens未来的发展计划。
  • semiwiki

    12/24/2024, 02:00 PM UTC

    ➀ 英特尔在技术宣布中转向更加透明的策略;➁ 英特尔PowerVia与台积电Super Power Rail之间的竞争;➂ 安迪·格鲁夫保持‘适度忧虑’的哲学。
  • semiwiki

    12/23/2024, 06:00 PM UTC

    ➀ Micron公布符合预期的收入和每股收益,但提供疲软的业绩指引;➁ 驱动AI的内存需求激增,而消费者内存面临挑战;➂ 市场对Micron股价的担忧,由于AI和消费者内存增长之间的不平衡。
  • tweaktown

    12/22/2024, 12:34 PM UTC

    ➀ 微软的 Copilot+ 笔记本等 AI 笔记本面临不确定的未来;➁ 美光 Q3 收益低于预期;➂ 预计的 AI PC 和智能手机 '超级周期' 并未实现
  • thelec

    12/20/2024, 03:19 PM UTC

    ➀ 三星电子从博通获得了一笔大额的HBM订单;➁ 这些芯片将被用于一家大型科技公司的AI计算芯片;➂ 这笔交易预计将增强三星电子在高性能内存市场的地位。
  • semiwiki

    12/20/2024, 02:00 PM UTC

    ➀ Semicon Japan 展会人气旺盛但整体氛围低迷,因对支出减弱担忧;➁ 日本政府支持的 Rapidus 半导体计划被视为一场幻想;➂ 分析师正在调整 2025 年 WFE 的展望,因需求放缓;➃ 芯片设备公司正在游说中国销售,引发关于虚伪的质疑;➄ 股市不稳定,以及对新政府半导体政策的不确定性。
  • semiwiki

    12/19/2024, 06:00 PM UTC

    ➀ TSMC在IEDM上发布了其2nm平台技术,具备用于AI、HPC和移动应用的GAA纳米片晶体管。➁ N2技术相比3nm节点在速度和功耗效率方面实现了显著提升。➂ TSMC的N2预计将于2025年下半年开始量产,而增强版N2P则预计于2026年投产。
  • semiwiki

    12/18/2024, 02:00 PM UTC

    ➀ 3D设计与HBM在先进半导体系统中至关重要;➁ 大型系统设计需要多芯片封装;➂ 多物理场和机器学习对于优化性能和可靠性至关重要。
  • semiwiki

    12/17/2024, 06:00 PM UTC

    ➀ 作者认为英特尔对行业成功并非至关重要,可以被替代;➁ 英特尔面临着来自竞争和x86市场衰退的挑战;➂ 作者建议将英特尔分成产品和代工实体,以改善专注度。
  • semiwiki

    12/17/2024, 02:00 PM UTC

    ➀ MCU设计从简单到复杂的转变,需要更复杂的互连技术如NoC;➁ 推动这一变化的因素,包括功耗降低、安全标准支持和多协议支持;➂ 设计的可扩展性重要性以及NoC架构如何支持这一点。
  • semiwiki

    12/16/2024, 06:00 PM UTC

    ➀ 贾希尼博士讨论了摩尔定律对半导体技术的影响以及能源效率的挑战。➁ 他强调了晶体管技术过去六十年来的发展历程。➂ 他提倡设计新的晶体管并加强跨领域的合作,以提升人工智能计算的能源效率。
  • thelec

    12/16/2024, 07:39 AM UTC

    ➀ Park Systems的原子力显微镜(AFM)在全球芯片制造商中需求旺盛;➁ 需求增长是由于混合键合过程中对AFM的必要性;➂ 该公司已向部分芯片制造商提供测试设备,并与其他公司进行洽谈。
  • semiwiki

    12/12/2024, 06:00 PM UTC

    ➀ 慧荣科技的Mii在70届IEDM上发表主题演讲,讨论了半导体行业的发展以及人工智能的影响,预测到2030年将达到一万亿美元的营收。➁ 他强调了逻辑技术的演变并介绍了CFET架构。➂ 他讨论了先进硅堆叠和封装技术的重要性。
  • semiwiki

    12/12/2024, 04:00 PM UTC

    ➀ WSTS预测2024年半导体市场增长19%,主要得益于内存市场81%的增长;➁ 汽车和工业领域的公司正面临收入下降;➂ 英伟达因AI处理器收入增长135%,而内存公司也报告了显著的收入增长。
  • semiwiki

    12/12/2024, 02:00 PM UTC

    ➀ 芯片度量公司是一家专注于高宽比3D芯片(如3D NAND和3D DRAM)测量解决方案的芬兰公司;➁ 公司提供测试芯片以加速研发和工艺控制工作流程;➂ 公司的PillarHall测试芯片能够在高宽比腔体中精确测量薄膜特性。
  • thelec

    12/12/2024, 08:04 AM UTC

    ➀ LB半导体及其子公司LB Lusem将提供面向AI数据中心使用的功率管理芯片的统包封装服务。➁ 该服务将包括晶圆正面和背面的加工。➂ 他们还将提供芯片的测试服务。
  • thelec

    12/12/2024, 08:04 AM UTC

    ➀ LB半导体及其子公司LB Lusem将提供面向AI数据中心使用的电源芯片的统包封装服务。 ➁ 他们将处理晶圆的正面和背面。 ➂ 该消息于周三公布。
  • thelec

    12/10/2024, 07:23 AM UTC

    ➀ 3ALogics计划明年推出大约十款基于NFC的无线充电芯片;➁ 公司从一百多个NFC芯片类别中选择了约10个类别进行聚焦;➂ 公司CEO Park Kwang-beom强调了成功战略选择的重要性。
  • thelec

    12/10/2024, 07:23 AM UTC

    ➀ NFC无晶圆厂芯片公司3ALogics计划明年推出大约十款基于NFC的无线充电芯片;➁ 公司首席执行官Park Kwang-beom在一次会议上表示,他们已选择专注于大约10种NFC芯片类别以实现成功;➂ 这些新芯片是公司扩大其NFC芯片产品线的策略的一部分。
  • tweaktown

    12/10/2024, 05:11 AM UTC

    ➀ 三星电子成功开发出400层NAND技术,超越了SK海力士的321层NAND;➁ 400层NAND将在2025年下半年开始量产;➂ 三星计划在2025年2月的ISSCC 2025会议上详细介绍其新的1TB 400层TLC NAND。
  • semiwiki

    12/09/2024, 04:00 PM UTC

    ➀ 英特尔CEO帕特·基辛格的离职凸显了英特尔持续存在的问题;➁ 半导体技术和供应链控制在全球AI时代至关重要;➂ 英特尔董事会过去二十年的一系列糟糕决策影响了公司在半导体行业的竞争能力。
  • tweaktown

    12/08/2024, 06:44 AM UTC

    ➀ 台积电2纳米芯片在试验运行中的良率超出预期;➁ 预计2025年实现量产;➂ 2纳米节点引入纳米片架构,这是半导体行业的首次。
  • semiwiki

    12/06/2024, 02:00 PM UTC

    ➀ GP Singh共同创立了慧荣科技,致力于开发高性能、低功耗的可编程AI微处理器;➁ 公司的DigAn®技术使得在无需依赖云的情况下实现超低功耗的AI应用成为可能;➂ GPX10处理器通过提供更好的性能和更低的功耗来解决当前AI硬件中的低效问题;➃ GP Singh强调半导体在改善人类生活方面的重要性。
  • tweaktown

    12/06/2024, 01:23 PM UTC

    ➀ 一项集体诉讼指控联合健康保险公司使用一个有缺陷的算法拒绝患者保险,由两名现已去世的个人提起。➁ 联合健康保险公司首席执行官布莱恩·汤普森本周在曼哈顿中城被杀,嫌疑人目前仍在逃。➂ 诉讼声称联合健康保险公司促使员工使用一个错误率约为90%的算法来拒绝保险。
  • thelec

    12/06/2024, 07:57 AM UTC

    ➀ FOUP清洁设备制造商ISTE将拓展至等离子增强化学气相沉积(PECVD)设备领域;➁ 制造设备公司CEO赵昌炫在公司IPO前的会议上提及此事;➂ PECVD设备市场比FOUP市场大30倍。
  • thelec

    12/05/2024, 09:27 AM UTC

    ➀ LB半导体和DB Hitek宣布将合作开发用于高功率芯片的改进型重分布层(RDL);➁ RDL是一种金属层,用于连接芯片内部的I/O焊盘与外部世界;➂ 该合作旨在提升高功率芯片的性能和连接性。
  • thelec

    12/05/2024, 09:27 AM UTC

    ➀ LB半导体和DB Hitek宣布合作共同开发用于高功率芯片的改进型重分布层(RDL);➁ RDL是一种金属层,用于连接芯片内部的I/O焊盘与外部;➂ 该层用于高性能应用。
  • semiwiki

    12/04/2024, 02:00 PM UTC

    ➀ 2.5D和3D多芯片设计在主流应用中的兴起;➁ Synopsys和Ansys为多芯片项目提供的全面设计流程;➂ Marc Swinnen和Keith Lanier就技术知识和引人入胜的演示提供的专家见解。
  • thelec

    12/04/2024, 07:22 AM UTC

    ➀ 伊斯普特亚斯已放弃收购碳纳米管制造商JEIO的计划;➁ 此决定由伊斯普特亚斯集团董事长金相奉亲自下令;➂ 伊斯普特亚斯将放弃已支付的15.8亿韩元订金。
  • thelec

    12/04/2024, 07:22 AM UTC

    ➀ 赛迈科化学,一家光刻胶公司,在过去六年里被罚款16次;➁ 由于该公司正在准备上市,这些罚款引起了关注;➂ 该公司在忠清南道公州市运营两家工厂。
  • thelec

    12/04/2024, 07:22 AM UTC

    ➀ 伊素派塔斯伊斯决定放弃收购碳纳米管制造商JEIO的计划;➁ 该决定由伊素集团董事长金尚鎬亲自下令;➂ 取消收购后,伊素派塔斯伊斯将放弃已支付的158亿韩元的定金。
  • semiwiki

    12/02/2024, 06:00 PM UTC

    ➀ RISC-V核心的兴起和认证的挑战;➁ Breker验证系统在认证过程中的作用;➂ 认证RISC-V ISA实现的复杂性以及RISC-V国际组织的努力。
  • tweaktown

    12/02/2024, 12:32 AM UTC

    ➀ 英特尔面临股价下跌、裁员和CPU争议等重大挑战。➁ 公司计划剥离其晶圆代工部门,但由于与美国政府签署的786亿美元CHIPS法案协议,需要保持控制权。➂ 英特尔与CHIPS法案的协议中包含一项“控制权变更”限制,要求英特尔保留其晶圆代工业务50.1%的控制权或所有权。
  • semiwiki

    11/29/2024, 02:00 PM UTC

    ➀ 柯云芝博士分享她在电力半导体领域的丰富经验;➁ SMC二极管解决方案专注于电力转换和效率解决方案;➂ 公司通过先进技术、客户服务和研发实现差异化。
  • thelec

    11/28/2024, 07:37 AM UTC

    ➀ 三星年末重组重点聚焦于其芯片部门;➁ 公司面临所谓的“危机”,对部门未来的担忧日益加剧;➂ 三星宣布了新任的设备解决方案(DS)部门的总裁。
  • thelec

    11/26/2024, 08:08 AM UTC

    ➀ 深度X将于年底从三星代工厂接收其DX-M1芯片的生产晶圆;➁ 该芯片的多项目晶圆由设计公司高芯片和三星代工厂合作生产;➂ 深度X与高芯片签订了价值72亿韩元的量产协议。
  • thelec

    11/26/2024, 08:08 AM UTC

    ➀ 三星在其3D NAND闪存生产的光刻过程中显著减少了光刻胶的使用量。➁ 公司计划在未来的NAND生产中仅使用之前一半的光刻胶量。➂ 这项举措是三星提高制造效率和降低成本的努力之一。
  • semiwiki

    11/25/2024, 06:00 PM UTC

    ➀ 硅创成成功销售超过1,000个其Fractional-N PLL IP的生产许可证,取得显著成就;➁ 该技术提供灵活的频率合成能力,扩大了其应用潜力;➂ 在多个市场中部署,该IP展示了其在不同应用中的适应性。
  • semiwiki

    11/25/2024, 02:00 PM UTC

    ➀ Cadence推出一系列关于汽车设计趋势和挑战的网络研讨会;➁ 预计汽车半导体市场到2029年将以11%的复合年增长率增长;➂ 汽车OEM和一级供应商正朝着垂直整合的趋势发展。
  • thelec

    11/24/2024, 08:47 PM UTC

    ➀ 韩国芯片存储公司Mdevice已获得初步批准在科斯达克上市;➁ 公司于九月提出上市申请;➂ 上市依据的是一项特别规定,允许尚未盈利的公司上市。
  • thelec

    11/24/2024, 08:47 PM UTC

    ➀ TCK于周五宣布,其针对YMC和Wycom的碳化硅(SiC)圆环专利侵权诉讼获胜;➁ 这起诉讼是在四年前提出的,TCK当时也在寻求赔偿;➂ 这些专利涉及能够承受等离子体并具有特定物理特性的碳化硅结构。
  • semiwiki

    11/22/2024, 02:00 PM UTC

    ➀ 桑迪普·库马尔分享了他丰富的技术经验和在高增长公司中的领导地位;➁ 慧荣科技(L&T半导体技术公司)专注于设计和交付智能半导体设备以满足全球市场需求;➂ 公司致力于解决移动、工业和能源等领域的科技转型问题。
  • semiwiki

    11/21/2024, 07:00 PM UTC

    ➀ WSTS报告2024年第三季度半导体市场增长1660亿美元,同比增长10.7%;➁ 英伟达因AI GPU的强劲表现成为最大半导体公司;➂ 2024年第四季度展望显示数据中心市场将推动Nvidia、美光和AMD的收入增长;➃ 2025年展望显示AI将推动服务器增长,但增速将放缓。
  • thelec

    11/21/2024, 07:37 AM UTC

    ➀ YEST宣布将为SK海力士提供价值111.6亿韩元的eFurnace;➁ 该eFurnace用于净化晶圆并提高其电学特性;➂ 预计SK海力士将使用该设备进行HBM生产。
  • thelec

    11/21/2024, 07:37 AM UTC

    ➀ 无厂半导体公司Point2 Technology预计将在2026年在韩国证券交易所Kosdaq上市时实现超过1万亿韩元的估值;➁ 公司首席执行官Sean Park表示,他们预计2025年营收将超过100亿韩元,2026年和2027年分别将增至500亿韩元和1000亿韩元。
  • semiwiki

    11/20/2024, 06:00 PM UTC

    ➀ 预计圣克拉拉硅基材料愿景峰会将吸引大量人群,聚焦半导体基板及其对3D IC性能的影响。 ➁ 将讨论人工智能在转型半导体价值链中的作用,强调其对规模、复杂性和高性能芯片需求的影响。 ➂ 主题演讲和圆桌讨论将探讨半导体材料、人工智能计算以及大学在技术发展中的作用。
  • semiwiki

    11/19/2024, 02:00 PM UTC

    ➀ Alchip在TSMC OIP生态系统论坛上展示;➁ 克服3D IC设计挑战;➂ 与Synopsys和TSMC合作进行3D设计创新
  • thelec

    11/18/2024, 06:36 PM UTC

    ➀ Telechips正专注于为全球汽车市场提供中端SoC芯片;➁ 该策略是为了与高通和联发科等大对手竞争;➂ 李总强调了保持竞争力的必要性。
  • semiwiki

    11/18/2024, 02:00 PM UTC

    ➀ 探索使用ANN参数改进的ASM-HEMT混合模型进行GaN HEMT建模;➁ 解决了准确拟合宽范围S参数的挑战;➂ 基于ANN的参数拟合减少了测量与模拟之间的差异。
  • semiwiki

    11/17/2024, 04:00 PM UTC

    ➀ AMAT本季度表现符合预期但展望疲软;➁ AI的强劲无法抵消市场疲软;➂ 监管和中国的风险增加不确定性。
  • semiwiki

    11/15/2024, 04:00 PM UTC

    ➀ 新政府可能削减和修改芯片法案;➁ 设备再本土化的趋势可能逆转;➂ 近期订单削减和晶圆厂延迟是负面信号;➃ SMIC的评论显示中国产能过剩;➄ 美国立法者质疑向中国销售设备的外移。
  • semiwiki

    11/15/2024, 02:00 PM UTC

    ➀ EdgeCortix专注于高效能AI处理;➁ 解决边缘AI性能和能效问题;➂ 在智能城市、工业、航空航天和安防行业中需求旺盛。
  • thelec

    11/15/2024, 07:44 AM UTC

    ➀ Neosem实现了有史以来最高的第三季度利润;➁ 第五代SSD测试仪的强劲销售对利润增长起到了关键作用;➁ 用于AI基础设施的DDR5服务器 DIMM模块测试仪需求也较高。
  • tweaktown

    11/15/2024, 04:10 AM UTC

    ➀ 三星正在为Meta和微软开发定制化的HBM4内存解决方案;➁ 预计到2025年底开始量产;➂ 新的HBM4内存将提供2TB/s的带宽和高达48GB的容量。
  • thelec

    11/14/2024, 09:31 AM UTC

    ➀ 半导体设计公司SemiFive运营仅五年,预期收入将超过7000万美元;➁ 该公司是三星晶圆代工(Samsung Foundry)的设计解决方案合作伙伴(DSP);➂ SemiFive向无晶圆厂客户提供其自有的SoC设计平台。
  • semiwiki

    11/13/2024, 02:00 PM UTC

    ➀ 汽车市场的变革影响了供应链,尤其是Tier1供应商。 ➁ 汽车系统中AI的集成正推动更统一的供应商平台。 ➂ 慧荣收购Quadric的GPNPU许可并与Quadric共同开发车载半导体,这对于慧荣来说在半导体设计领域是一个重要的步骤。
  • semiwiki

    11/11/2024, 06:00 PM UTC

    ➀ 半导体行业中构建基于Python的设计环境的必要性;➁ Python在SoC设计中的优势;➂ Python在学术界和工业界的应用;➃ Defacto的SoC编译器和其Python API;➄ 使用Python进行RTL代码生成的案例研究。
  • semiwiki

    11/11/2024, 06:00 PM UTC

    ➀ 《芯片和科学法案》为半导体研发拨款110亿美元;➁ 该项目聚焦于包括先进封装和人工智能驱动设计在内的五个领域;➂ 各公司应立即申请资金机会。
  • tweaktown

    11/11/2024, 04:10 AM UTC

    ➀ ASML遭遇全球IT故障,影响其运营;➁ 故障影响了洁净室与供应商的沟通;➂ ASML对TSMC和英特尔等公司至关重要。
  • 11/09/2024, 10:19 AM UTC

    ➀ 美国总统选举的影响以及中美持续的芯片战争可能使中国半导体设备支出在2025年降至400亿美元以下。➁ 预计这一紧张局势将影响全球半导体供应链。➂ 该情况凸显了国内半导体制造能力的重要性。
  • 11/09/2024, 10:19 AM UTC

    ➀ 韩国半导体产业正面临来自台湾积极研发努力的日益增长的压力;➁ 根据《Business Korea》的报道,韩国的劳动法规可能削弱其在半导体领域的竞争力;➂ 产业内部的激烈竞争可能会影响全球半导体行业的格局。
  • 11/09/2024, 10:19 AM UTC

    ➀ 台积电据报道将在下周停止向中国AI企业供应7nm及以下芯片;➁ 此举紧随之前通过代理向华为供应7nm芯片的争议之后;➂ 这种做法可能是对近期贸易紧张和地缘政治问题的回应。
  • 11/09/2024, 10:19 AM UTC

    ➀ 德克萨斯州州长计划访问台积电;➁ 提供激励措施以吸引投资;➂ 台积电成为各方积极合作的对象。
  • 11/09/2024, 10:19 AM UTC

    ➀ 中国领先的半导体代工厂中芯国际报告了第三季度的创纪录收入;➁ 公司在产能过剩的警告中保持谨慎扩张;➂ 该报告来自集邦咨询新闻。
  • 11/09/2024, 10:19 AM UTC

    ➀ 据报道,三星正在减少其晶圆厂和传统DRAM的生产;➁ 这家韩国半导体巨头据说正在考虑将过时的NAND设备出售给中国;➂ 据称,本地中国公司是潜在买家。
  • 11/09/2024, 10:19 AM UTC

    ➀ 高通公布了乐观的第一季度销售预测;➁ 其2024财年近50%的收入来自中国;➂ 预计本季度收入同比增长10%
  • tweaktown

    11/08/2024, 05:09 AM UTC

    ➀ 美国总统拜登和当选总统特朗普据传都将出席TSMC在亚利桑那州的新半导体工厂开业典礼;➁ TSMC对于苹果、AMD、英特尔和英伟达等美国科技巨头至关重要;➂ TSMC在亚利桑那州的新工厂对美国半导体产业具有重要意义。
  • thelec

    11/07/2024, 10:08 AM UTC

    ➀ 韩国视频IP公司芯片与媒体宣布获得台积电的3纳米库;➁ 这将使得芯片与媒体的客户在签署合同前能够评估兼容的IP;➂ 这标志着两家公司之间的战略合作伙伴关系。
  • semiwiki

    11/05/2024, 06:00 PM UTC

    ➀ 讨论了形式验证的挑战,强调使形式验证可访问和可扩展的重要性。➁ 介绍了Axiomise通过咨询、培训和自动化IP使形式验证变得正常的方法。➂ 介绍了《实用形式验证入门》课程,重点是使形式验证易于理解和应用。
  • semiwiki

    11/05/2024, 04:00 PM UTC

    ➀ 西门子推出了新的系统内测试控制器ISTC,与Tessent Streaming Scan Network软件配合,实现确定性系统内测试。➁ ISTC支持所有Tessent MissionMode功能,可针对特定的单元内部和老化缺陷进行定位。➂ 新产品旨在解决安全和安全领域以及网络和数据中心的质量问题。
  • thelec

    11/05/2024, 10:32 AM UTC

    ➀ SK海力士计划在2025年初提供其第五代高带宽内存(HBM)HBM3E 16H的样品;➁ HBM3E 16H采用16片堆叠的DRAM芯片,并将继续使用大规模再流成型技术;➂ SK海力士首席执行官Kwak Noh-jung在SK集团主办的活动上宣布了这一消息。
  • semiwiki

    11/03/2024, 04:00 PM UTC

    ➀ KLAC报告稳健的季度业绩,增长温和;➁ 中国经济放缓带来不确定性;➂ TSMC持续占据投资主导地位;➃ KLA在光罩检查市场面临挑战。
  • semiwiki

    11/01/2024, 01:00 PM UTC

    ➀ OpenLight是全球首个集成了激光的开放硅光子平台;➁ 解决了硅光子在设计与部署中的挑战;➂ 在数据中心、AI/ML和高性能计算等领域表现突出;➃ 专注于光子组件的规模化和效率;➃ 通过直接将活性组件集成到硅中实现差异化;➅ 开发先进的PIC并扩展产品线,包括1.6Tb产品。
  • 11/01/2024, 07:45 AM UTC

    ➀ 中国显示产业正迅速扩大产能;➁ 此扩张引发了美国的国家安全担忧;➂ 美国可能因这些担忧对中国显示产业实施制裁。
  • 11/01/2024, 02:44 AM UTC

    ➀ 三星电子在第三季度财报中宣布,尽管利润大幅下降,但盈利情况超出预期。➁ 公司强调其在高带宽内存(HBM)供应方面的进步。➂ 三星暗示可能将与台积电进行合作,表明在半导体行业中进行战略布局的意图。
  • 11/01/2024, 01:00 AM UTC

    ➀ 中国国家原子能机构核安全与环境保护工程技术研究院成功量产了一款新型芯片,实现了重大突破。➁ 这标志着中国半导体产业的重大进步。➂ 新型芯片将提升国家在技术领域的实力和在全球市场的竞争力。
  • 10/30/2024, 11:30 PM UTC

    ➀ 据报道,英特尔CEO的行为引起台积电不满;➁ 这一事件导致台积电取消了40%的折扣;➂ 折扣取消发生在英特尔即将发布的第三季度财务报告之前。
  • 10/30/2024, 03:59 AM UTC

    ➀ 在台积电可能收购的传闻中,群创否认了其在南台湾工厂的出售计划。➁ 人工智能的繁荣增加了先进封装生产能力的需求,推动了行业增长。➂ 该情况凸显了半导体制造工厂在台湾的战略重要性。
  • 10/30/2024, 03:40 AM UTC

    ➀ 据报道,台积电已停止向至少两家芯片开发商发货;➁ 这一举措疑似是为了规避美国出口限制;➂ 比特大陆的供应据称因此受到威胁。
  • udn

    10/29/2024, 03:59 PM UTC

    ➀ 據報,AI對半導體的需求強烈,導致先進製造產能出現短缺。➁ 市場傳言台積電有意向收購群創的整廠,目標是南科七廠。➁ 群創發言人昨日強調,出售整廠並非其計劃。
  • 10/29/2024, 07:38 AM UTC

    ➀ 苹果据悉正在开发M5芯片;➁ 这一举措正在推动台积电先进制程的订单增加;➂ 行业正关注苹果即将推出配备自研M4芯片的新产品。
  • 10/29/2024, 03:15 AM UTC

    ➀ 台积电与南亚科技正在台湾提升其半导体制造能力;➁ 高雄的新2nm晶圆厂将是这些努力的关键设施;➂ 扩展重点在于先进制程和CoWoS封装技术。
  • 10/28/2024, 11:30 PM UTC

    ➀ 高通与Arm Holdings的争议受到关注;➁ Arm与高通的架构许可协议被终止;➂ 争议反映了科技巨头定制硅设计对Arm提出的挑战。
  • tweaktown

    10/28/2024, 06:35 AM UTC

    ➀ 三星正在开发一种名为Selector-Only Memory (SOM)的新型内存,它结合了RAM和SSD的特性。➁ 研究人员利用先进的计算机建模将4000种潜在材料组合缩小到18个主要候选者。➂ 这些发现将在12月的国际电子器件会议上展示。
  • 10/28/2024, 02:30 AM UTC

    ➀ 据报道,台积电已停止向Sophgo供货;➁ 据称,台积电生产的芯片被发现在华为的AI处理器中;➂ 停止供货可能是因为该芯片出现在华为处理器中
  • 10/28/2024, 12:30 AM UTC

    ➀ Rapidus正计划建设第二座用于1.4nm工艺晶圆的工厂;➁ 第一座工厂正在北海道千岁市建设;➂ 目标是在2027年实现2nm晶圆的大规模生产。
  • 10/27/2024, 11:30 PM UTC

    ➀ 德国多家半导体工厂的建设遭遇困难;➁ 德国汽车零部件供应商ZF Friedrichshafen AG计划退出一个价值30亿美元的合资项目;➂ 该合资项目涉及美国公司,旨在投资于德国半导体制造业。
  • semiwiki

    10/27/2024, 03:00 PM UTC

    ➀ 拉姆研究公司(LRCX)发布的季度收入和每股收益(EPS)均略高于市场预期。 ➁ 公司对未来季度的展望符合预期,显示出缓慢但稳定的复苏。 ➂ 尽管对中国科技支出放缓的担忧,但拉姆研究公司对科技投资的关注抵消了这一下降。
  • semiwiki

    10/25/2024, 01:00 PM UTC

    ➀ Sean Park探讨了Point2科技致力于为AI/ML数据中心提供超低功耗、低延迟互连解决方案的使命;➁ 他解释了在AI/ML数据中心中扩大带宽和维护效率的挑战;➂ 文章探讨了公司e-Tube技术的潜力,以及它可能对互连技术的革命性影响。
  • semiwiki

    10/24/2024, 09:00 PM UTC

    ➀ 人工智能正在推动半导体行业的显著增长;➁ 2024年AI IC市场预计将达到1100亿美元;➂ 英伟达在AI IC市场中占据领先地位,预计收入将达到960亿美元。
  • semiwiki

    10/24/2024, 05:00 PM UTC

    ➀ RISC-V与开源功能验证挑战探讨了RISC-V和ARM核心验证过程的差异。 ➁ 讨论了选择可靠IP供应商的重要性以及软件支持对验证的影响。 ➂ 强调了RISC-V配置文件在简化验证和实现软件兼容性方面的作用。
  • 10/24/2024, 12:00 PM UTC

    ➀ 三星电子最近开始重组其业务;➁ 重组内容包括退出LED业务;➂ 这一决定由中国中央电视台财经频道发布的报告中宣布。
  • 10/24/2024, 12:00 PM UTC

    ➀ 荷兰芯片设备制造商ASML宣布下调其2025年订单预测,导致其股价大幅下跌。➁ ASML首席执行官表示,尽管面临美国出口限制,中国仍有可能生产5nm和3nm芯片。➂ 这反映了半导体行业中的持续竞争和技术进步。
  • 10/24/2024, 12:00 PM UTC

    ➀ 据传闻,谷歌将采用台积电的N3E工艺制造Tensor G5;➁ 报告还明确指出,谷歌没有选择为Tensor G6使用2nm技术;➂ 这一举措可能影响AI和智能手机芯片市场的竞争。
  • thelec

    10/24/2024, 11:30 AM UTC

    SK Hynix CEO 坑 Noh-jung 确认制造 HBM3E 12H sản, 行正如预料;拒绝 NVIDIA 的 AI 助手问题会造成延迟的猜想
  • semiwiki

    10/23/2024, 01:00 PM UTC

    ➀ IEDM 2024将于12月7日至11日在旧金山举行;➁ 关注AI、台积电的2nm逻辑平台和英特尔极端缩放晶体管;➂ 回顾IEDM 70年历史,并探讨先进封装、功率晶体管和脑机接口。
  • tweaktown

    10/23/2024, 05:02 AM UTC

    ➀ 研究人员开发了一种新型芯片设计,采用声波和光而非电能;➁ 该技术利用受激布里渊散射在芯片表面生成和控制声波;➂ 该研究可能推动5G/6G网络、传感器和先进通信技术的发展。
  • tweaktown

    10/23/2024, 02:11 AM UTC

    ➀ 200名三星半导体工程师申请加入SK海力士;➁ 三星芯片业务增长担忧加剧;➂ SK海力士扩大了招募经验不足五年的工程师的项目,吸引了大量三星工程师。
  • semiwiki

    10/18/2024, 01:00 PM UTC

    ➀ Mehdi Asghari 博士分享了他的创业经历以及 SiLC Technologies 致力于实现类似人类的机器视觉的使命;➁ SiLC 首款完全集成的相干激光雷达芯片及其在机器人、移动性和周界安全等领域的应用;➂ 在人工智能和机器人行业中的挑战和机遇,重点介绍了相干成像对于机器自主性的重要性。
  • semiwiki

    10/17/2024, 01:00 PM UTC

    ➀ 对英特尔13代和14代处理器近期问题的分析;➁ 确定根本原因为Vmin偏移不稳定问题;➂ 精确分析工具在防止老化退化中的重要性。
  • tweaktown

    10/17/2024, 12:28 AM UTC

    ➀ 高通正在等待2024年美国总统大选的结果,然后再对英特尔采取行动;➁ 公司正在考虑收购英特尔,这可能引发反垄断担忧;➂ 高通和英特尔都是半导体行业的主要玩家,如果合并,可能成为历史上最大的交易之一。
  • semiwiki

    10/16/2024, 01:00 PM UTC

    ➀ 谷歌正在探索在移动设备上运行大型语言模型(LLM)的可行性;➁ 谷歌在移动设备上优化LLM的技术;➂ 移动设备上LLM实际应用案例的重要性
  • semiwiki

    10/15/2024, 05:00 PM UTC

    ➀ 电子束探测(EBP)已成为分析7nm以下集成电路安全性的有效方法。 ➁ 它比光学探测具有更高的空间分辨率,适用于7nm以下的倒装芯片和先进的三维架构。 ➂ 研究重点在于EBP在故障分析和硬件保证中的重要性。
  • semiwiki

    10/15/2024, 01:00 PM UTC

    ➀ 由于物联网、图像传感器和3DICs的兴起,IC设计的复杂性;➁ 非曼哈顿布线和非常规形状的阻抗提取的挑战;➂ 准确的阻抗提取对于设计可靠性和演进的破碎技术的重要性。
  • semiwiki

    10/14/2024, 05:00 PM UTC

    ➀ Alchip科技在2024年第二季度实现了收入、运营收入和净收入的创纪录增长;➁ AI芯片出货量和5nm AI加速器的销售额对增长贡献显著;➂ Alchip对高性能ASIC和SoC设计的专注继续带来回报。
  • semiwiki

    10/11/2024, 01:00 PM UTC

    ➀ 托比亚斯·路德维希讨论了他电子设计自动化领域的旅程以及LUBIS EDA的创立;➁ 传统形式化验证过程中存在的挑战和低效性;➂ LUBIS EDA使用AI技术自动化和简化形式化验证的创新方法。
  • semiwiki

    10/09/2024, 05:00 PM UTC

    ➀ 2023年科技股权投资下降,生成式AI崛起;➁ 量子技术的意义及其应用;➂ 量子生态系统的挑战与机遇。
  • semiwiki

    10/08/2024, 05:00 PM UTC

    ➀ TSMC的3DBlox框架解决了3DIC设计的复杂性;➁ 2024年的创新重点在于简化3D设计挑战;➂ TSMC在管理3DIC系统中的电气和物理约束方面的策略。
  • semiwiki

    10/08/2024, 01:00 PM UTC

    ➀ ASML新任CEO克里斯托夫·富凯讨论了高数值孔径EUV及其技术的快速采用;➁ 英特尔提出将掩模尺寸从6英寸×6英寸增加到6英寸×12英寸,并得到ASML的支持;➂ 英特尔已安装了两套高数值孔径系统,显示出与标准EUV相比的显著改进。
  • tweaktown

    10/08/2024, 06:08 AM UTC

    ➀ 欧洲技术研究院CEA-Leti正在开发基于硅光学的光互连器;➁ 新技术名为Starac,旨在减少通信延迟;➂ Starac使用ONoC在芯片片之间进行高速数据传输,无需中间跳转。
  • thelec

    10/01/2024, 05:27 PM UTC

    ➀ 9月份DRAM和NAND的合约价格下跌超过10%;➁ 下跌原因是PC和消费电子产品需求低迷;➂ DDR4 8Gb 1Gx8的价格下跌了17.07%,至1.7美元。
  • thelec

    09/24/2024, 06:22 PM UTC

    ➀ 德比科克即将签署一项为期十年的合同,为特斯拉制造动力管理IC。➁ 美国车企的质量控制人员于6月访问了韩国德比科克的工厂进行检验。➂ 该合同涉及为特斯拉车辆制造动力管理IC。
  • thelec

    09/23/2024, 05:49 PM UTC

    ➀ LPKF驳斥Philoptics对其TGV技术的指控;➁ Philoptics声称LPKF的技术只能提供有限孔径尺寸;➂ LPKF对Philoptics关于技术优越性的指控提出异议。
  • tweaktown

    09/23/2024, 11:07 AM UTC

    ➀ 英特尔从阿波罗全球管理公司获得了85亿美元的新融资;➁ 这一举措被视为对英特尔转型策略的信心投票;➂ 据传闻,高通正在考虑对英特尔进行收购。
  • tweaktown

    08/20/2024, 12:39 AM UTC

    ➀ 三星预计将在2024年第四季度完成下一代HBM4内存的设计。➁ HBM4的大规模生产计划于2025年第四季度进行,目标是为英伟达的下一代Rubin R100 AI GPU提供支持。➂ AI行业的需求正在推动HBM内存的增长,SK海力士和三星正在大力投资生产能力。
  • semiwiki

    08/15/2024, 01:00 PM UTC

    ➀ UCIe标准化了chiplet之间的通信,降低了行业门槛并促进了创新。➁ 它通过允许从不同供应商混合匹配chiplet,实现了专业化和定制化解决方案。➂ UCIe支持AI应用的定制硅芯片,提高了性能和效率。
  • semiwiki

    08/13/2024, 01:00 PM UTC

    ➀ 玻璃基板具有超平坦性和更高的互连密度,有可能取代有机基板和硅中介层。➁ 它们与硅芯片具有更好的热匹配性,并能处理更高的芯片密度。➂ 挑战包括脆性、粘附问题和缺乏行业标准。
  • semiwiki

    08/11/2024, 03:00 PM UTC

    ➀ 数据中心处理市场显示出强劲增长,尤其是处理领域,增长了20.3%。➁ Nvidia在收入和利润方面主导市场,远超竞争对手。➂ AI GPU的供应链已经演变,内存供应成为关键组成部分。
  • tweaktown

    08/07/2024, 12:17 AM UTC

    ➀ 英特尔下一代Panther Lake CPU已成功启动,预计2025年采用Intel 18A工艺节点量产。➁ 该CPU具备最多16个核心和最多12个Xe3-GPU核心,主要设计用于移动设备。➂ Intel 18A工艺节点已为外部客户部署,采用RibbonFET和PowerVIA技术。
  • semiwiki

    08/05/2024, 05:00 PM UTC

    ➀ 小组讨论了AI和数据中心中功率效率的挑战,强调了3D混合键合对于更密集和更节能互连的需求。➁ 英特尔代工厂强调了集成3D IC产品的系统方法,目标是实现CAD无关的工具流和系统技术协同优化(STCO)。➂ 高通公司专注于协同优化和左移策略,保持EDA供应商中立以应对多物理挑战。
  • semiwiki

    08/04/2024, 01:59 PM UTC

    ➀ 英特尔最新财报显示其财务困境进一步加深,营收和毛利率均未达到预期。➁ 公司正采取艰难决策,包括裁员和削减资本支出,以应对财务挑战。➂ 英特尔还利用创新融资策略,如半导体共同投资计划,以支持其制造扩张计划。
  • semiwiki

    08/04/2024, 01:00 PM UTC

    ➀ 拉姆研究报告了良好的财务业绩,但提供了较为疲软的指引,导致投资者失望。➁ 应用材料公司为其Epic设施申请CHIPS法案资金的请求似乎已被拒绝。➂ 半导体行业预计将稳步复苏,但速度将比以往周期慢,受到AI和HBM等因素以及来自中国客户利润率下降的影响。
  • thelec

    07/30/2024, 07:19 AM UTC

    1、SK海力士计划投资9.4万亿韩元建设新工厂,以应对由人工智能热潮引发的内存芯片高需求。2、新工厂将位于韩国政府支持的永宁半导体集群新工业区。3、工厂建设即将启动。
  • tweaktown

    07/29/2024, 11:36 AM UTC

    1、台积电计划在2027年第三季度使用ASML的高NA EUV光刻机进行A14工艺节点,并在2028年进行A14P工艺节点;2、台积电是ASML的最大客户,也是其未来增长的关键驱动力;3、首批高NA EUV光刻机订单预计约为70台,价值266亿美元。
  • semiwiki

    07/26/2024, 01:00 PM UTC

    1、Babak Taheri博士讨论了他在Silvaco担任CEO的角色,Silvaco是一家提供设计和制造软件平台的公司。2、Silvaco专注于AI辅助的数字孪生建模,服务于汽车、显示和存储器等市场。3、公司在半导体行业面临管理复杂性、上市时间和成本管理等挑战。
  • semiwiki

    07/24/2024, 01:00 PM UTC

    1、IROC Technologies在#61DAC上推出了SoCFIT的升级版,用于软错误分析与缓解。2、SoCFIT提供全面的错误传播分析和详细的脆弱性报告。3、新版本包含FDR FastSIM,提供比传统方法快1,000倍的故障传播模拟。
  • thelec

    07/17/2024, 07:31 AM UTC

    1、半导体封装公司 Genesem 已向 SK Hynix 提供下一代混合键合设备。2、该设备用于生产高带宽内存(HBM)。3、两台设备已安装在 SK Hynix 的试验工厂中,用于测试。
  • thelec

    07/16/2024, 07:54 AM UTC

    1、斗山泰斯纳计划将其现有的芯片测试业务与芯片封装能力整合。2、目标是发展公司成为一家综合的外包半导体封装和测试企业。3、此举可能旨在提供包括晶圆测试和封装在内的全面服务。
  • tweaktown

    07/16/2024, 03:27 AM UTC

    1、NVIDIA、台积电和SK海力士已组建‘三角联盟’,旨在引领下一代AI GPU和HBM4内存的开发。2、SK海力士总裁金周奂预计将在台湾半导体展上与台积电高管讨论下一代HBM合作计划。3、该联盟旨在通过2026年进入大规模生产的HBM4,主导AI GPU市场。
  • tweaktown

    07/15/2024, 02:59 AM UTC

    1、由于强劲的AI需求,英伟达为下一代Blackwell AI GPU向台积电增加了25%的订单。2、Blackwell AI GPU系列,包括B100、B200和GB200,预计将在2025年主导AI市场。3、亚马逊、戴尔、谷歌、Meta和微软等主要科技公司计划在其新的AI服务器中使用这些GPU。
  • semiwiki

    07/11/2024, 01:00 PM UTC

    1、AI的快速发展显著推动了半导体行业,特别是接口IP,2023年增长了17%。2、接口IP的市场份额从2017年的18%上升到2023年的28%,预计到2028年将达到38%。3、关键协议如PCIe、内存控制器和以太网预计将因数据中心应用和AI而大幅增长。
  • semiwiki

    07/10/2024, 05:00 PM UTC

    1、半导体行业显示出复苏迹象,与2023年第一季度相比,收入增长了29%,若排除英伟达,增长率降至10%以下。2、英伟达的强劲表现推动了整体增长,数据中心和人工智能销售的贡献显著。3、库存水平和收入预测表明行业前景谨慎,对当前增长趋势的可持续性存在担忧。
  • semiwiki

    07/09/2024, 01:00 PM UTC

    1、Breker Verification Systems在#61DAC上推出了先进的RISC-V验证解决方案,涵盖核心验证和系统一致性。2、该公司的Trek系列利用AI技术进行测试套件合成,增强错误检测和覆盖率。3、Breker的解决方案支持包括仿真、模拟和后硅在内的多种环境,确保复杂半导体设计的全面验证。
  • semiwiki

    05/31/2024, 01:00 PM UTC

  • anandtech

    05/29/2024, 03:00 PM UTC

  • thelec

    05/24/2024, 09:19 AM UTC

  • thelec

    05/16/2024, 05:12 PM UTC

SemiVoice 是您的半导体新闻聚合器,探索海内外各大网站半导体精选新闻,并实时更新。在这里方便随时了解最新趋势、市场洞察和专家分析。
📧 [email protected]
© 2025